|
|
|
1 | 2024-11-20T22:33:18.261Z | job assigned to worker 01JD5V9Y58341X5WAFJMXV9Q1N [factory aws, i-0eaefbdc6c5ece46f] (queued for 33 s) |
|
2 | 2024-11-20T22:33:18.877Z | starting task 0: "setup" |
3 | 2024-11-20T22:33:18.898Z | ++ uname -s |
4 | 2024-11-20T22:33:18.902Z | + kern=Linux |
5 | 2024-11-20T22:33:18.902Z | + case "$kern" in |
6 | 2024-11-20T22:33:18.902Z | + apt-get -y update |
7 | 2024-11-20T22:33:19.145Z | Hit:1 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal InRelease |
8 | 2024-11-20T22:33:19.145Z | Get:2 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates InRelease [128 kB] |
9 | 2024-11-20T22:33:19.152Z | Get:3 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-backports InRelease [128 kB] |
10 | 2024-11-20T22:33:19.152Z | Get:4 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/universe amd64 Packages [8,628 kB] |
11 | 2024-11-20T22:33:19.234Z | Get:5 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/universe Translation-en [5,124 kB] |
12 | 2024-11-20T22:33:19.289Z | Get:6 http://security.ubuntu.com/ubuntu focal-security InRelease [128 kB] |
13 | 2024-11-20T22:33:19.294Z | Get:7 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/universe amd64 c-n-f Metadata [265 kB] |
14 | 2024-11-20T22:33:19.300Z | Get:8 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/multiverse amd64 Packages [144 kB] |
15 | 2024-11-20T22:33:19.304Z | Get:9 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/multiverse Translation-en [104 kB] |
16 | 2024-11-20T22:33:19.306Z | Get:10 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/multiverse amd64 c-n-f Metadata [9,136 B] |
17 | 2024-11-20T22:33:19.309Z | Get:11 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 Packages [3,676 kB] |
18 | 2024-11-20T22:33:19.333Z | Get:12 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main Translation-en [563 kB] |
19 | 2024-11-20T22:33:19.336Z | Get:13 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 c-n-f Metadata [17.8 kB] |
20 | 2024-11-20T22:33:19.339Z | Get:14 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/restricted amd64 Packages [3,375 kB] |
21 | 2024-11-20T22:33:19.359Z | Get:15 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/restricted Translation-en [472 kB] |
22 | 2024-11-20T22:33:19.362Z | Get:16 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/restricted amd64 c-n-f Metadata [548 B] |
23 | 2024-11-20T22:33:19.376Z | Get:17 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/universe amd64 Packages [1,238 kB] |
24 | 2024-11-20T22:33:19.383Z | Get:18 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/universe Translation-en [297 kB] |
25 | 2024-11-20T22:33:19.386Z | Get:19 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/universe amd64 c-n-f Metadata [28.3 kB] |
26 | 2024-11-20T22:33:19.386Z | Get:20 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/multiverse amd64 Packages [27.0 kB] |
27 | 2024-11-20T22:33:19.386Z | Get:21 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/multiverse Translation-en [7,936 B] |
28 | 2024-11-20T22:33:19.388Z | Get:22 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/multiverse amd64 c-n-f Metadata [612 B] |
29 | 2024-11-20T22:33:19.389Z | Get:23 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-backports/main amd64 Packages [45.7 kB] |
30 | 2024-11-20T22:33:19.389Z | Get:24 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-backports/main Translation-en [16.3 kB] |
31 | 2024-11-20T22:33:19.389Z | Get:25 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-backports/main amd64 c-n-f Metadata [1,420 B] |
32 | 2024-11-20T22:33:19.389Z | Get:26 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-backports/restricted amd64 c-n-f Metadata [116 B] |
33 | 2024-11-20T22:33:19.389Z | Get:27 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-backports/universe amd64 Packages [25.0 kB] |
34 | 2024-11-20T22:33:19.392Z | Get:28 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-backports/universe Translation-en [16.3 kB] |
35 | 2024-11-20T22:33:19.392Z | Get:29 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-backports/universe amd64 c-n-f Metadata [880 B] |
36 | 2024-11-20T22:33:19.393Z | Get:30 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-backports/multiverse amd64 c-n-f Metadata [116 B] |
37 | 2024-11-20T22:33:19.973Z | Get:31 http://security.ubuntu.com/ubuntu focal-security/main amd64 Packages [3,302 kB] |
38 | 2024-11-20T22:33:21.081Z | Get:32 http://security.ubuntu.com/ubuntu focal-security/main Translation-en [484 kB] |
39 | 2024-11-20T22:33:21.097Z | Get:33 http://security.ubuntu.com/ubuntu focal-security/main amd64 c-n-f Metadata [14.3 kB] |
40 | 2024-11-20T22:33:21.099Z | Get:34 http://security.ubuntu.com/ubuntu focal-security/restricted amd64 Packages [3,247 kB] |
41 | 2024-11-20T22:33:21.382Z | Get:35 http://security.ubuntu.com/ubuntu focal-security/restricted Translation-en [456 kB] |
42 | 2024-11-20T22:33:21.397Z | Get:36 http://security.ubuntu.com/ubuntu focal-security/restricted amd64 c-n-f Metadata [548 B] |
43 | 2024-11-20T22:33:21.399Z | Get:37 http://security.ubuntu.com/ubuntu focal-security/universe amd64 Packages [1,016 kB] |
44 | 2024-11-20T22:33:21.521Z | Get:38 http://security.ubuntu.com/ubuntu focal-security/universe Translation-en [214 kB] |
45 | 2024-11-20T22:33:21.527Z | Get:39 http://security.ubuntu.com/ubuntu focal-security/universe amd64 c-n-f Metadata [21.4 kB] |
46 | 2024-11-20T22:33:21.530Z | Get:40 http://security.ubuntu.com/ubuntu focal-security/multiverse amd64 Packages [24.8 kB] |
47 | 2024-11-20T22:33:21.530Z | Get:41 http://security.ubuntu.com/ubuntu focal-security/multiverse Translation-en [5,968 B] |
48 | 2024-11-20T22:33:21.530Z | Get:42 http://security.ubuntu.com/ubuntu focal-security/multiverse amd64 c-n-f Metadata [540 B] |
49 | 2024-11-20T22:33:27.276Z | Fetched 33.3 MB in 3s (9,892 kB/s) |
50 | 2024-11-20T22:33:28.018Z | Reading package lists... |
51 | 2024-11-20T22:33:28.030Z | + apt-get -y install sysvbanner build-essential |
52 | 2024-11-20T22:33:28.069Z | Reading package lists... |
53 | 2024-11-20T22:33:28.221Z | Building dependency tree... |
54 | 2024-11-20T22:33:28.292Z | Reading state information... |
55 | 2024-11-20T22:33:28.346Z | The following additional packages will be installed: |
56 | 2024-11-20T22:33:28.346Z | binutils binutils-common binutils-x86-64-linux-gnu cpp cpp-9 dpkg-dev |
57 | 2024-11-20T22:33:28.350Z | fakeroot g++ g++-9 gcc gcc-10-base gcc-9 gcc-9-base libalgorithm-diff-perl |
58 | 2024-11-20T22:33:28.350Z | libalgorithm-diff-xs-perl libalgorithm-merge-perl libasan5 libatomic1 |
59 | 2024-11-20T22:33:28.350Z | libbinutils libc-dev-bin libc6 libc6-dev libcc1-0 libcrypt-dev libctf-nobfd0 |
60 | 2024-11-20T22:33:28.350Z | libctf0 libdpkg-perl libfakeroot libfile-fcntllock-perl libgcc-9-dev |
61 | 2024-11-20T22:33:28.350Z | libgcc-s1 libgomp1 libisl22 libitm1 liblsan0 libmpc3 libquadmath0 |
62 | 2024-11-20T22:33:28.352Z | libstdc++-9-dev libstdc++6 libtsan0 libubsan1 linux-libc-dev make |
63 | 2024-11-20T22:33:28.352Z | manpages-dev |
64 | 2024-11-20T22:33:28.352Z | Suggested packages: |
65 | 2024-11-20T22:33:28.352Z | binutils-doc cpp-doc gcc-9-locales debian-keyring g++-multilib |
66 | 2024-11-20T22:33:28.352Z | g++-9-multilib gcc-9-doc gcc-multilib autoconf automake libtool flex bison |
67 | 2024-11-20T22:33:28.352Z | gdb gcc-doc gcc-9-multilib glibc-doc bzr libstdc++-9-doc make-doc |
68 | 2024-11-20T22:33:28.428Z | The following NEW packages will be installed: |
69 | 2024-11-20T22:33:28.428Z | binutils binutils-common binutils-x86-64-linux-gnu build-essential cpp cpp-9 |
70 | 2024-11-20T22:33:28.436Z | dpkg-dev fakeroot g++ g++-9 gcc gcc-9 gcc-9-base libalgorithm-diff-perl |
71 | 2024-11-20T22:33:28.436Z | libalgorithm-diff-xs-perl libalgorithm-merge-perl libasan5 libatomic1 |
72 | 2024-11-20T22:33:28.437Z | libbinutils libc-dev-bin libc6-dev libcc1-0 libcrypt-dev libctf-nobfd0 |
73 | 2024-11-20T22:33:28.437Z | libctf0 libdpkg-perl libfakeroot libfile-fcntllock-perl libgcc-9-dev |
74 | 2024-11-20T22:33:28.437Z | libgomp1 libisl22 libitm1 liblsan0 libmpc3 libquadmath0 libstdc++-9-dev |
75 | 2024-11-20T22:33:28.437Z | libtsan0 libubsan1 linux-libc-dev make manpages-dev sysvbanner |
76 | 2024-11-20T22:33:28.437Z | The following packages will be upgraded: |
77 | 2024-11-20T22:33:28.437Z | gcc-10-base libc6 libgcc-s1 libstdc++6 |
78 | 2024-11-20T22:33:28.448Z | 4 upgraded, 42 newly installed, 0 to remove and 211 not upgraded. |
79 | 2024-11-20T22:33:28.480Z | Need to get 48.7 MB of archives. |
80 | 2024-11-20T22:33:28.480Z | After this operation, 203 MB of additional disk space will be used. |
81 | 2024-11-20T22:33:28.482Z | Get:1 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libc6 amd64 2.31-0ubuntu9.16 [2,723 kB] |
82 | 2024-11-20T22:33:28.529Z | Get:2 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 gcc-10-base amd64 10.5.0-1ubuntu1~20.04 [20.8 kB] |
83 | 2024-11-20T22:33:28.532Z | Get:3 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libstdc++6 amd64 10.5.0-1ubuntu1~20.04 [501 kB] |
84 | 2024-11-20T22:33:28.537Z | Get:4 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libgcc-s1 amd64 10.5.0-1ubuntu1~20.04 [41.8 kB] |
85 | 2024-11-20T22:33:28.539Z | Get:5 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 binutils-common amd64 2.34-6ubuntu1.9 [208 kB] |
86 | 2024-11-20T22:33:28.542Z | Get:6 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libbinutils amd64 2.34-6ubuntu1.9 [475 kB] |
87 | 2024-11-20T22:33:28.544Z | Get:7 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libctf-nobfd0 amd64 2.34-6ubuntu1.9 [48.2 kB] |
88 | 2024-11-20T22:33:28.547Z | Get:8 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libctf0 amd64 2.34-6ubuntu1.9 [46.6 kB] |
89 | 2024-11-20T22:33:28.550Z | Get:9 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 binutils-x86-64-linux-gnu amd64 2.34-6ubuntu1.9 [1,614 kB] |
90 | 2024-11-20T22:33:28.560Z | Get:10 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 binutils amd64 2.34-6ubuntu1.9 [3,380 B] |
91 | 2024-11-20T22:33:28.562Z | Get:11 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libc-dev-bin amd64 2.31-0ubuntu9.16 [71.6 kB] |
92 | 2024-11-20T22:33:28.562Z | Get:12 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 linux-libc-dev amd64 5.4.0-200.220 [1,143 kB] |
93 | 2024-11-20T22:33:28.569Z | Get:13 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 libcrypt-dev amd64 1:4.4.10-10ubuntu4 [104 kB] |
94 | 2024-11-20T22:33:28.571Z | Get:14 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libc6-dev amd64 2.31-0ubuntu9.16 [2,520 kB] |
95 | 2024-11-20T22:33:28.593Z | Get:15 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 gcc-9-base amd64 9.4.0-1ubuntu1~20.04.2 [18.9 kB] |
96 | 2024-11-20T22:33:28.595Z | Get:16 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 libisl22 amd64 0.22.1-1 [592 kB] |
97 | 2024-11-20T22:33:28.600Z | Get:17 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 libmpc3 amd64 1.1.0-1 [40.8 kB] |
98 | 2024-11-20T22:33:28.603Z | Get:18 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 cpp-9 amd64 9.4.0-1ubuntu1~20.04.2 [7,502 kB] |
99 | 2024-11-20T22:33:28.667Z | Get:19 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 cpp amd64 4:9.3.0-1ubuntu2 [27.6 kB] |
100 | 2024-11-20T22:33:28.675Z | Get:20 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libcc1-0 amd64 10.5.0-1ubuntu1~20.04 [48.8 kB] |
101 | 2024-11-20T22:33:28.676Z | Get:21 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libgomp1 amd64 10.5.0-1ubuntu1~20.04 [102 kB] |
102 | 2024-11-20T22:33:28.676Z | Get:22 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libitm1 amd64 10.5.0-1ubuntu1~20.04 [26.2 kB] |
103 | 2024-11-20T22:33:28.676Z | Get:23 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libatomic1 amd64 10.5.0-1ubuntu1~20.04 [9,284 B] |
104 | 2024-11-20T22:33:28.676Z | Get:24 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libasan5 amd64 9.4.0-1ubuntu1~20.04.2 [2,752 kB] |
105 | 2024-11-20T22:33:28.699Z | Get:25 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 liblsan0 amd64 10.5.0-1ubuntu1~20.04 [835 kB] |
106 | 2024-11-20T22:33:28.706Z | Get:26 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libtsan0 amd64 10.5.0-1ubuntu1~20.04 [2,016 kB] |
107 | 2024-11-20T22:33:28.721Z | Get:27 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libubsan1 amd64 10.5.0-1ubuntu1~20.04 [785 kB] |
108 | 2024-11-20T22:33:28.730Z | Get:28 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libquadmath0 amd64 10.5.0-1ubuntu1~20.04 [146 kB] |
109 | 2024-11-20T22:33:28.748Z | Get:29 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libgcc-9-dev amd64 9.4.0-1ubuntu1~20.04.2 [2,359 kB] |
110 | 2024-11-20T22:33:28.751Z | Get:30 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 gcc-9 amd64 9.4.0-1ubuntu1~20.04.2 [8,276 kB] |
111 | 2024-11-20T22:33:28.858Z | Get:31 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 gcc amd64 4:9.3.0-1ubuntu2 [5,208 B] |
112 | 2024-11-20T22:33:28.866Z | Get:32 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libstdc++-9-dev amd64 9.4.0-1ubuntu1~20.04.2 [1,722 kB] |
113 | 2024-11-20T22:33:28.870Z | Get:33 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 g++-9 amd64 9.4.0-1ubuntu1~20.04.2 [8,421 kB] |
114 | 2024-11-20T22:33:28.986Z | Get:34 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 g++ amd64 4:9.3.0-1ubuntu2 [1,604 B] |
115 | 2024-11-20T22:33:28.991Z | Get:35 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 make amd64 4.2.1-1.2 [162 kB] |
116 | 2024-11-20T22:33:28.991Z | Get:36 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libdpkg-perl all 1.19.7ubuntu3.2 [231 kB] |
117 | 2024-11-20T22:33:28.991Z | Get:37 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 dpkg-dev all 1.19.7ubuntu3.2 [679 kB] |
118 | 2024-11-20T22:33:28.995Z | Get:38 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 build-essential amd64 12.8ubuntu1.1 [4,664 B] |
119 | 2024-11-20T22:33:28.995Z | Get:39 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 libfakeroot amd64 1.24-1 [25.7 kB] |
120 | 2024-11-20T22:33:28.995Z | Get:40 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 fakeroot amd64 1.24-1 [62.6 kB] |
121 | 2024-11-20T22:33:28.995Z | Get:41 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 libalgorithm-diff-perl all 1.19.03-2 [46.6 kB] |
122 | 2024-11-20T22:33:29.004Z | Get:42 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 libalgorithm-diff-xs-perl amd64 0.04-6 [11.3 kB] |
123 | 2024-11-20T22:33:29.004Z | Get:43 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 libalgorithm-merge-perl all 0.08-3 [12.0 kB] |
124 | 2024-11-20T22:33:29.004Z | Get:44 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 libfile-fcntllock-perl amd64 0.22-3build4 [33.1 kB] |
125 | 2024-11-20T22:33:29.004Z | Get:45 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 manpages-dev all 5.05-1 [2,266 kB] |
126 | 2024-11-20T22:33:29.016Z | Get:46 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/universe amd64 sysvbanner amd64 1:1.0-17fakesync1 [7,112 B] |
127 | 2024-11-20T22:33:29.185Z | debconf: unable to initialize frontend: Dialog |
128 | 2024-11-20T22:33:29.187Z | debconf: (TERM is not set, so the dialog frontend is not usable.) |
129 | 2024-11-20T22:33:29.187Z | debconf: falling back to frontend: Readline |
130 | 2024-11-20T22:33:29.213Z | debconf: unable to initialize frontend: Readline |
131 | 2024-11-20T22:33:29.213Z | debconf: (This frontend requires a controlling tty.) |
132 | 2024-11-20T22:33:29.213Z | debconf: falling back to frontend: Teletype |
133 | 2024-11-20T22:33:29.215Z | dpkg-preconfigure: unable to re-open stdin: |
134 | 2024-11-20T22:33:29.239Z | Fetched 48.7 MB in 1s (88.7 MB/s) |
135 | 2024-11-20T22:33:30.097Z | (Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 61307 files and directories currently installed.) |
136 | 2024-11-20T22:33:30.099Z | Preparing to unpack .../libc6_2.31-0ubuntu9.16_amd64.deb ... |
137 | 2024-11-20T22:33:30.192Z | debconf: unable to initialize frontend: Dialog |
138 | 2024-11-20T22:33:30.192Z | debconf: (TERM is not set, so the dialog frontend is not usable.) |
139 | 2024-11-20T22:33:30.192Z | debconf: falling back to frontend: Readline |
140 | 2024-11-20T22:33:30.292Z | Unpacking libc6:amd64 (2.31-0ubuntu9.16) over (2.31-0ubuntu9.9) ... |
141 | 2024-11-20T22:33:30.684Z | Setting up libc6:amd64 (2.31-0ubuntu9.16) ... |
142 | 2024-11-20T22:33:30.785Z | debconf: unable to initialize frontend: Dialog |
143 | 2024-11-20T22:33:30.785Z | debconf: (TERM is not set, so the dialog frontend is not usable.) |
144 | 2024-11-20T22:33:30.785Z | debconf: falling back to frontend: Readline |
145 | 2024-11-20T22:33:30.937Z | (Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 61307 files and directories currently installed.) |
146 | 2024-11-20T22:33:30.940Z | Preparing to unpack .../gcc-10-base_10.5.0-1ubuntu1~20.04_amd64.deb ... |
147 | 2024-11-20T22:33:30.965Z | Unpacking gcc-10-base:amd64 (10.5.0-1ubuntu1~20.04) over (10.3.0-1ubuntu1~20.04) ... |
148 | 2024-11-20T22:33:31.083Z | Setting up gcc-10-base:amd64 (10.5.0-1ubuntu1~20.04) ... |
149 | 2024-11-20T22:33:31.185Z | (Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 61307 files and directories currently installed.) |
150 | 2024-11-20T22:33:31.195Z | Preparing to unpack .../libstdc++6_10.5.0-1ubuntu1~20.04_amd64.deb ... |
151 | 2024-11-20T22:33:31.212Z | Unpacking libstdc++6:amd64 (10.5.0-1ubuntu1~20.04) over (10.3.0-1ubuntu1~20.04) ... |
152 | 2024-11-20T22:33:31.320Z | Setting up libstdc++6:amd64 (10.5.0-1ubuntu1~20.04) ... |
153 | 2024-11-20T22:33:31.397Z | (Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 61307 files and directories currently installed.) |
154 | 2024-11-20T22:33:31.400Z | Preparing to unpack .../libgcc-s1_10.5.0-1ubuntu1~20.04_amd64.deb ... |
155 | 2024-11-20T22:33:31.425Z | Unpacking libgcc-s1:amd64 (10.5.0-1ubuntu1~20.04) over (10.3.0-1ubuntu1~20.04) ... |
156 | 2024-11-20T22:33:31.485Z | Setting up libgcc-s1:amd64 (10.5.0-1ubuntu1~20.04) ... |
157 | 2024-11-20T22:33:31.542Z | Selecting previously unselected package binutils-common:amd64. |
158 | 2024-11-20T22:33:31.555Z | (Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 61307 files and directories currently installed.) |
159 | 2024-11-20T22:33:31.561Z | Preparing to unpack .../00-binutils-common_2.34-6ubuntu1.9_amd64.deb ... |
160 | 2024-11-20T22:33:31.563Z | Unpacking binutils-common:amd64 (2.34-6ubuntu1.9) ... |
161 | 2024-11-20T22:33:31.660Z | Selecting previously unselected package libbinutils:amd64. |
162 | 2024-11-20T22:33:31.663Z | Preparing to unpack .../01-libbinutils_2.34-6ubuntu1.9_amd64.deb ... |
163 | 2024-11-20T22:33:31.668Z | Unpacking libbinutils:amd64 (2.34-6ubuntu1.9) ... |
164 | 2024-11-20T22:33:31.767Z | Selecting previously unselected package libctf-nobfd0:amd64. |
165 | 2024-11-20T22:33:31.770Z | Preparing to unpack .../02-libctf-nobfd0_2.34-6ubuntu1.9_amd64.deb ... |
166 | 2024-11-20T22:33:31.775Z | Unpacking libctf-nobfd0:amd64 (2.34-6ubuntu1.9) ... |
167 | 2024-11-20T22:33:31.835Z | Selecting previously unselected package libctf0:amd64. |
168 | 2024-11-20T22:33:32.182Z | Preparing to unpack .../03-libctf0_2.34-6ubuntu1.9_amd64.deb ... |
169 | 2024-11-20T22:33:32.182Z | Unpacking libctf0:amd64 (2.34-6ubuntu1.9) ... |
170 | 2024-11-20T22:33:32.185Z | Selecting previously unselected package binutils-x86-64-linux-gnu. |
171 | 2024-11-20T22:33:32.186Z | Preparing to unpack .../04-binutils-x86-64-linux-gnu_2.34-6ubuntu1.9_amd64.deb ... |
172 | 2024-11-20T22:33:32.186Z | Unpacking binutils-x86-64-linux-gnu (2.34-6ubuntu1.9) ... |
173 | 2024-11-20T22:33:32.189Z | Selecting previously unselected package binutils. |
174 | 2024-11-20T22:33:32.189Z | Preparing to unpack .../05-binutils_2.34-6ubuntu1.9_amd64.deb ... |
175 | 2024-11-20T22:33:32.189Z | Unpacking binutils (2.34-6ubuntu1.9) ... |
176 | 2024-11-20T22:33:32.202Z | Selecting previously unselected package libc-dev-bin. |
177 | 2024-11-20T22:33:32.205Z | Preparing to unpack .../06-libc-dev-bin_2.31-0ubuntu9.16_amd64.deb ... |
178 | 2024-11-20T22:33:32.223Z | Unpacking libc-dev-bin (2.31-0ubuntu9.16) ... |
179 | 2024-11-20T22:33:32.280Z | Selecting previously unselected package linux-libc-dev:amd64. |
180 | 2024-11-20T22:33:32.282Z | Preparing to unpack .../07-linux-libc-dev_5.4.0-200.220_amd64.deb ... |
181 | 2024-11-20T22:33:32.298Z | Unpacking linux-libc-dev:amd64 (5.4.0-200.220) ... |
182 | 2024-11-20T22:33:32.686Z | Selecting previously unselected package libcrypt-dev:amd64. |
183 | 2024-11-20T22:33:32.689Z | Preparing to unpack .../08-libcrypt-dev_1%3a4.4.10-10ubuntu4_amd64.deb ... |
184 | 2024-11-20T22:33:32.693Z | Unpacking libcrypt-dev:amd64 (1:4.4.10-10ubuntu4) ... |
185 | 2024-11-20T22:33:32.759Z | Selecting previously unselected package libc6-dev:amd64. |
186 | 2024-11-20T22:33:32.762Z | Preparing to unpack .../09-libc6-dev_2.31-0ubuntu9.16_amd64.deb ... |
187 | 2024-11-20T22:33:32.767Z | Unpacking libc6-dev:amd64 (2.31-0ubuntu9.16) ... |
188 | 2024-11-20T22:33:33.239Z | Selecting previously unselected package gcc-9-base:amd64. |
189 | 2024-11-20T22:33:33.247Z | Preparing to unpack .../10-gcc-9-base_9.4.0-1ubuntu1~20.04.2_amd64.deb ... |
190 | 2024-11-20T22:33:33.254Z | Unpacking gcc-9-base:amd64 (9.4.0-1ubuntu1~20.04.2) ... |
191 | 2024-11-20T22:33:33.348Z | Selecting previously unselected package libisl22:amd64. |
192 | 2024-11-20T22:33:33.380Z | Preparing to unpack .../11-libisl22_0.22.1-1_amd64.deb ... |
193 | 2024-11-20T22:33:33.381Z | Unpacking libisl22:amd64 (0.22.1-1) ... |
194 | 2024-11-20T22:33:33.466Z | Selecting previously unselected package libmpc3:amd64. |
195 | 2024-11-20T22:33:33.469Z | Preparing to unpack .../12-libmpc3_1.1.0-1_amd64.deb ... |
196 | 2024-11-20T22:33:33.474Z | Unpacking libmpc3:amd64 (1.1.0-1) ... |
197 | 2024-11-20T22:33:33.542Z | Selecting previously unselected package cpp-9. |
198 | 2024-11-20T22:33:33.546Z | Preparing to unpack .../13-cpp-9_9.4.0-1ubuntu1~20.04.2_amd64.deb ... |
199 | 2024-11-20T22:33:33.550Z | Unpacking cpp-9 (9.4.0-1ubuntu1~20.04.2) ... |
200 | 2024-11-20T22:33:34.208Z | Selecting previously unselected package cpp. |
201 | 2024-11-20T22:33:34.214Z | Preparing to unpack .../14-cpp_4%3a9.3.0-1ubuntu2_amd64.deb ... |
202 | 2024-11-20T22:33:34.220Z | Unpacking cpp (4:9.3.0-1ubuntu2) ... |
203 | 2024-11-20T22:33:34.280Z | Selecting previously unselected package libcc1-0:amd64. |
204 | 2024-11-20T22:33:34.286Z | Preparing to unpack .../15-libcc1-0_10.5.0-1ubuntu1~20.04_amd64.deb ... |
205 | 2024-11-20T22:33:34.299Z | Unpacking libcc1-0:amd64 (10.5.0-1ubuntu1~20.04) ... |
206 | 2024-11-20T22:33:34.343Z | Selecting previously unselected package libgomp1:amd64. |
207 | 2024-11-20T22:33:34.346Z | Preparing to unpack .../16-libgomp1_10.5.0-1ubuntu1~20.04_amd64.deb ... |
208 | 2024-11-20T22:33:34.365Z | Unpacking libgomp1:amd64 (10.5.0-1ubuntu1~20.04) ... |
209 | 2024-11-20T22:33:34.435Z | Selecting previously unselected package libitm1:amd64. |
210 | 2024-11-20T22:33:34.437Z | Preparing to unpack .../17-libitm1_10.5.0-1ubuntu1~20.04_amd64.deb ... |
211 | 2024-11-20T22:33:34.459Z | Unpacking libitm1:amd64 (10.5.0-1ubuntu1~20.04) ... |
212 | 2024-11-20T22:33:34.512Z | Selecting previously unselected package libatomic1:amd64. |
213 | 2024-11-20T22:33:34.515Z | Preparing to unpack .../18-libatomic1_10.5.0-1ubuntu1~20.04_amd64.deb ... |
214 | 2024-11-20T22:33:34.535Z | Unpacking libatomic1:amd64 (10.5.0-1ubuntu1~20.04) ... |
215 | 2024-11-20T22:33:34.586Z | Selecting previously unselected package libasan5:amd64. |
216 | 2024-11-20T22:33:34.589Z | Preparing to unpack .../19-libasan5_9.4.0-1ubuntu1~20.04.2_amd64.deb ... |
217 | 2024-11-20T22:33:34.608Z | Unpacking libasan5:amd64 (9.4.0-1ubuntu1~20.04.2) ... |
218 | 2024-11-20T22:33:34.970Z | Selecting previously unselected package liblsan0:amd64. |
219 | 2024-11-20T22:33:34.974Z | Preparing to unpack .../20-liblsan0_10.5.0-1ubuntu1~20.04_amd64.deb ... |
220 | 2024-11-20T22:33:34.994Z | Unpacking liblsan0:amd64 (10.5.0-1ubuntu1~20.04) ... |
221 | 2024-11-20T22:33:35.128Z | Selecting previously unselected package libtsan0:amd64. |
222 | 2024-11-20T22:33:35.132Z | Preparing to unpack .../21-libtsan0_10.5.0-1ubuntu1~20.04_amd64.deb ... |
223 | 2024-11-20T22:33:35.149Z | Unpacking libtsan0:amd64 (10.5.0-1ubuntu1~20.04) ... |
224 | 2024-11-20T22:33:35.389Z | Selecting previously unselected package libubsan1:amd64. |
225 | 2024-11-20T22:33:35.392Z | Preparing to unpack .../22-libubsan1_10.5.0-1ubuntu1~20.04_amd64.deb ... |
226 | 2024-11-20T22:33:35.420Z | Unpacking libubsan1:amd64 (10.5.0-1ubuntu1~20.04) ... |
227 | 2024-11-20T22:33:35.543Z | Selecting previously unselected package libquadmath0:amd64. |
228 | 2024-11-20T22:33:35.550Z | Preparing to unpack .../23-libquadmath0_10.5.0-1ubuntu1~20.04_amd64.deb ... |
229 | 2024-11-20T22:33:35.554Z | Unpacking libquadmath0:amd64 (10.5.0-1ubuntu1~20.04) ... |
230 | 2024-11-20T22:33:35.654Z | Selecting previously unselected package libgcc-9-dev:amd64. |
231 | 2024-11-20T22:33:35.849Z | Preparing to unpack .../24-libgcc-9-dev_9.4.0-1ubuntu1~20.04.2_amd64.deb ... |
232 | 2024-11-20T22:33:35.850Z | Unpacking libgcc-9-dev:amd64 (9.4.0-1ubuntu1~20.04.2) ... |
233 | 2024-11-20T22:33:35.899Z | Selecting previously unselected package gcc-9. |
234 | 2024-11-20T22:33:35.902Z | Preparing to unpack .../25-gcc-9_9.4.0-1ubuntu1~20.04.2_amd64.deb ... |
235 | 2024-11-20T22:33:35.907Z | Unpacking gcc-9 (9.4.0-1ubuntu1~20.04.2) ... |
236 | 2024-11-20T22:33:36.579Z | Selecting previously unselected package gcc. |
237 | 2024-11-20T22:33:36.590Z | Preparing to unpack .../26-gcc_4%3a9.3.0-1ubuntu2_amd64.deb ... |
238 | 2024-11-20T22:33:36.590Z | Unpacking gcc (4:9.3.0-1ubuntu2) ... |
239 | 2024-11-20T22:33:36.657Z | Selecting previously unselected package libstdc++-9-dev:amd64. |
240 | 2024-11-20T22:33:36.691Z | Preparing to unpack .../27-libstdc++-9-dev_9.4.0-1ubuntu1~20.04.2_amd64.deb ... |
241 | 2024-11-20T22:33:36.691Z | Unpacking libstdc++-9-dev:amd64 (9.4.0-1ubuntu1~20.04.2) ... |
242 | 2024-11-20T22:33:37.171Z | Selecting previously unselected package g++-9. |
243 | 2024-11-20T22:33:37.175Z | Preparing to unpack .../28-g++-9_9.4.0-1ubuntu1~20.04.2_amd64.deb ... |
244 | 2024-11-20T22:33:37.184Z | Unpacking g++-9 (9.4.0-1ubuntu1~20.04.2) ... |
245 | 2024-11-20T22:33:37.858Z | Selecting previously unselected package g++. |
246 | 2024-11-20T22:33:37.862Z | Preparing to unpack .../29-g++_4%3a9.3.0-1ubuntu2_amd64.deb ... |
247 | 2024-11-20T22:33:37.878Z | Unpacking g++ (4:9.3.0-1ubuntu2) ... |
248 | 2024-11-20T22:33:37.907Z | Selecting previously unselected package make. |
249 | 2024-11-20T22:33:37.910Z | Preparing to unpack .../30-make_4.2.1-1.2_amd64.deb ... |
250 | 2024-11-20T22:33:37.915Z | Unpacking make (4.2.1-1.2) ... |
251 | 2024-11-20T22:33:37.967Z | Selecting previously unselected package libdpkg-perl. |
252 | 2024-11-20T22:33:37.970Z | Preparing to unpack .../31-libdpkg-perl_1.19.7ubuntu3.2_all.deb ... |
253 | 2024-11-20T22:33:37.975Z | Unpacking libdpkg-perl (1.19.7ubuntu3.2) ... |
254 | 2024-11-20T22:33:38.105Z | Selecting previously unselected package dpkg-dev. |
255 | 2024-11-20T22:33:38.108Z | Preparing to unpack .../32-dpkg-dev_1.19.7ubuntu3.2_all.deb ... |
256 | 2024-11-20T22:33:38.112Z | Unpacking dpkg-dev (1.19.7ubuntu3.2) ... |
257 | 2024-11-20T22:33:38.286Z | Selecting previously unselected package build-essential. |
258 | 2024-11-20T22:33:38.289Z | Preparing to unpack .../33-build-essential_12.8ubuntu1.1_amd64.deb ... |
259 | 2024-11-20T22:33:38.294Z | Unpacking build-essential (12.8ubuntu1.1) ... |
260 | 2024-11-20T22:33:38.348Z | Selecting previously unselected package libfakeroot:amd64. |
261 | 2024-11-20T22:33:38.351Z | Preparing to unpack .../34-libfakeroot_1.24-1_amd64.deb ... |
262 | 2024-11-20T22:33:38.356Z | Unpacking libfakeroot:amd64 (1.24-1) ... |
263 | 2024-11-20T22:33:38.411Z | Selecting previously unselected package fakeroot. |
264 | 2024-11-20T22:33:38.415Z | Preparing to unpack .../35-fakeroot_1.24-1_amd64.deb ... |
265 | 2024-11-20T22:33:38.420Z | Unpacking fakeroot (1.24-1) ... |
266 | 2024-11-20T22:33:38.466Z | Selecting previously unselected package libalgorithm-diff-perl. |
267 | 2024-11-20T22:33:38.470Z | Preparing to unpack .../36-libalgorithm-diff-perl_1.19.03-2_all.deb ... |
268 | 2024-11-20T22:33:38.501Z | Unpacking libalgorithm-diff-perl (1.19.03-2) ... |
269 | 2024-11-20T22:33:38.549Z | Selecting previously unselected package libalgorithm-diff-xs-perl. |
270 | 2024-11-20T22:33:38.552Z | Preparing to unpack .../37-libalgorithm-diff-xs-perl_0.04-6_amd64.deb ... |
271 | 2024-11-20T22:33:38.573Z | Unpacking libalgorithm-diff-xs-perl (0.04-6) ... |
272 | 2024-11-20T22:33:38.606Z | Selecting previously unselected package libalgorithm-merge-perl. |
273 | 2024-11-20T22:33:38.609Z | Preparing to unpack .../38-libalgorithm-merge-perl_0.08-3_all.deb ... |
274 | 2024-11-20T22:33:38.614Z | Unpacking libalgorithm-merge-perl (0.08-3) ... |
275 | 2024-11-20T22:33:38.658Z | Selecting previously unselected package libfile-fcntllock-perl. |
276 | 2024-11-20T22:33:38.830Z | Preparing to unpack .../39-libfile-fcntllock-perl_0.22-3build4_amd64.deb ... |
277 | 2024-11-20T22:33:38.830Z | Unpacking libfile-fcntllock-perl (0.22-3build4) ... |
278 | 2024-11-20T22:33:38.830Z | Selecting previously unselected package manpages-dev. |
279 | 2024-11-20T22:33:38.830Z | Preparing to unpack .../40-manpages-dev_5.05-1_all.deb ... |
280 | 2024-11-20T22:33:38.830Z | Unpacking manpages-dev (5.05-1) ... |
281 | 2024-11-20T22:33:39.114Z | Selecting previously unselected package sysvbanner. |
282 | 2024-11-20T22:33:39.117Z | Preparing to unpack .../41-sysvbanner_1%3a1.0-17fakesync1_amd64.deb ... |
283 | 2024-11-20T22:33:39.121Z | Unpacking sysvbanner (1:1.0-17fakesync1) ... |
284 | 2024-11-20T22:33:39.190Z | Setting up manpages-dev (5.05-1) ... |
285 | 2024-11-20T22:33:39.216Z | Setting up libfile-fcntllock-perl (0.22-3build4) ... |
286 | 2024-11-20T22:33:39.243Z | Setting up libalgorithm-diff-perl (1.19.03-2) ... |
287 | 2024-11-20T22:33:39.257Z | Setting up binutils-common:amd64 (2.34-6ubuntu1.9) ... |
288 | 2024-11-20T22:33:39.273Z | Setting up linux-libc-dev:amd64 (5.4.0-200.220) ... |
289 | 2024-11-20T22:33:39.302Z | Setting up libctf-nobfd0:amd64 (2.34-6ubuntu1.9) ... |
290 | 2024-11-20T22:33:39.331Z | Setting up libgomp1:amd64 (10.5.0-1ubuntu1~20.04) ... |
291 | 2024-11-20T22:33:39.346Z | Setting up libfakeroot:amd64 (1.24-1) ... |
292 | 2024-11-20T22:33:39.375Z | Setting up fakeroot (1.24-1) ... |
293 | 2024-11-20T22:33:39.406Z | update-alternatives: using /usr/bin/fakeroot-sysv to provide /usr/bin/fakeroot (fakeroot) in auto mode |
294 | 2024-11-20T22:33:39.431Z | Setting up make (4.2.1-1.2) ... |
295 | 2024-11-20T22:33:39.445Z | Setting up libquadmath0:amd64 (10.5.0-1ubuntu1~20.04) ... |
296 | 2024-11-20T22:33:39.460Z | Setting up libmpc3:amd64 (1.1.0-1) ... |
297 | 2024-11-20T22:33:39.490Z | Setting up libatomic1:amd64 (10.5.0-1ubuntu1~20.04) ... |
298 | 2024-11-20T22:33:39.508Z | Setting up libdpkg-perl (1.19.7ubuntu3.2) ... |
299 | 2024-11-20T22:33:39.538Z | Setting up libubsan1:amd64 (10.5.0-1ubuntu1~20.04) ... |
300 | 2024-11-20T22:33:39.567Z | Setting up libcrypt-dev:amd64 (1:4.4.10-10ubuntu4) ... |
301 | 2024-11-20T22:33:39.582Z | Setting up libisl22:amd64 (0.22.1-1) ... |
302 | 2024-11-20T22:33:39.610Z | Setting up sysvbanner (1:1.0-17fakesync1) ... |
303 | 2024-11-20T22:33:39.636Z | Setting up libbinutils:amd64 (2.34-6ubuntu1.9) ... |
304 | 2024-11-20T22:33:39.642Z | Setting up libc-dev-bin (2.31-0ubuntu9.16) ... |
305 | 2024-11-20T22:33:39.666Z | Setting up libalgorithm-diff-xs-perl (0.04-6) ... |
306 | 2024-11-20T22:33:39.680Z | Setting up libcc1-0:amd64 (10.5.0-1ubuntu1~20.04) ... |
307 | 2024-11-20T22:33:39.709Z | Setting up liblsan0:amd64 (10.5.0-1ubuntu1~20.04) ... |
308 | 2024-11-20T22:33:39.722Z | Setting up libitm1:amd64 (10.5.0-1ubuntu1~20.04) ... |
309 | 2024-11-20T22:33:39.735Z | Setting up gcc-9-base:amd64 (9.4.0-1ubuntu1~20.04.2) ... |
310 | 2024-11-20T22:33:39.765Z | Setting up libalgorithm-merge-perl (0.08-3) ... |
311 | 2024-11-20T22:33:39.778Z | Setting up libtsan0:amd64 (10.5.0-1ubuntu1~20.04) ... |
312 | 2024-11-20T22:33:39.852Z | Setting up libctf0:amd64 (2.34-6ubuntu1.9) ... |
313 | 2024-11-20T22:33:39.859Z | Setting up libasan5:amd64 (9.4.0-1ubuntu1~20.04.2) ... |
314 | 2024-11-20T22:33:39.859Z | Setting up cpp-9 (9.4.0-1ubuntu1~20.04.2) ... |
315 | 2024-11-20T22:33:39.859Z | Setting up libc6-dev:amd64 (2.31-0ubuntu9.16) ... |
316 | 2024-11-20T22:33:39.879Z | Setting up binutils-x86-64-linux-gnu (2.34-6ubuntu1.9) ... |
317 | 2024-11-20T22:33:39.908Z | Setting up binutils (2.34-6ubuntu1.9) ... |
318 | 2024-11-20T22:33:39.923Z | Setting up dpkg-dev (1.19.7ubuntu3.2) ... |
319 | 2024-11-20T22:33:39.969Z | Setting up libgcc-9-dev:amd64 (9.4.0-1ubuntu1~20.04.2) ... |
320 | 2024-11-20T22:33:40.031Z | Setting up cpp (4:9.3.0-1ubuntu2) ... |
321 | 2024-11-20T22:33:40.031Z | Setting up gcc-9 (9.4.0-1ubuntu1~20.04.2) ... |
322 | 2024-11-20T22:33:40.035Z | Setting up libstdc++-9-dev:amd64 (9.4.0-1ubuntu1~20.04.2) ... |
323 | 2024-11-20T22:33:40.062Z | Setting up gcc (4:9.3.0-1ubuntu2) ... |
324 | 2024-11-20T22:33:40.109Z | Setting up g++-9 (9.4.0-1ubuntu1~20.04.2) ... |
325 | 2024-11-20T22:33:40.116Z | Setting up g++ (4:9.3.0-1ubuntu2) ... |
326 | 2024-11-20T22:33:40.210Z | update-alternatives: using /usr/bin/g++ to provide /usr/bin/c++ (c++) in auto mode |
327 | 2024-11-20T22:33:40.214Z | Setting up build-essential (12.8ubuntu1.1) ... |
328 | 2024-11-20T22:33:40.214Z | Processing triggers for man-db (2.9.1-1) ... |
329 | 2024-11-20T22:33:47.458Z | Processing triggers for libc-bin (2.31-0ubuntu9.9) ... |
330 | 2024-11-20T22:33:50.654Z | + groupadd -g 12345 build |
331 | 2024-11-20T22:33:50.738Z | + useradd -u 12345 -g build -d /home/build -s /bin/bash -c build build |
332 | 2024-11-20T22:33:50.780Z | + echo 'build ALL=(ALL:ALL) NOPASSWD:ALL' |
333 | 2024-11-20T22:33:50.782Z | + chmod 0440 /etc/sudoers.d/build |
334 | 2024-11-20T22:33:50.782Z | + cat |
335 | 2024-11-20T22:33:50.783Z | + chmod 0755 /bin/pfexec |
336 | 2024-11-20T22:33:50.783Z | + cat |
337 | 2024-11-20T22:33:50.783Z | + chmod 0755 /bin/ptime |
338 | 2024-11-20T22:33:50.783Z | + [[ ! -L /bin ]] |
339 | 2024-11-20T22:33:50.783Z | + mkdir -p /work |
340 | 2024-11-20T22:33:50.785Z | + mkdir -p /home/build |
341 | 2024-11-20T22:33:50.785Z | + chown build:build /home/build /work |
342 | 2024-11-20T22:33:50.785Z | + chmod 0700 /home/build /work |
343 | 2024-11-20T22:33:50.785Z | process exited: duration 31964 ms, exit code 0 |
|
344 | 2024-11-20T22:33:50.792Z | starting task 1: "authentication" |
345 | 2024-11-20T22:33:50.797Z | process exited: duration 5 ms, exit code 0 |
|
346 | 2024-11-20T22:33:50.803Z | starting task 2: "clone repository" |
347 | 2024-11-20T22:33:50.805Z | + mkdir -p /work/oxidecomputer/quartz |
348 | 2024-11-20T22:33:50.805Z | + git clone https://github.com/oxidecomputer/quartz /work/oxidecomputer/quartz |
349 | 2024-11-20T22:33:50.916Z | Cloning into '/work/oxidecomputer/quartz'... |
350 | 2024-11-20T22:33:51.723Z | + cd /work/oxidecomputer/quartz |
351 | 2024-11-20T22:33:51.723Z | + git fetch origin 0dd047fa72cc30b4d3c4c0c336a1bdbef3acbc3b |
352 | 2024-11-20T22:33:51.902Z | From https://github.com/oxidecomputer/quartz |
353 | 2024-11-20T22:33:51.902Z | * branch 0dd047fa72cc30b4d3c4c0c336a1bdbef3acbc3b -> FETCH_HEAD |
354 | 2024-11-20T22:33:51.905Z | + [[ -n git-sha-info ]] |
355 | 2024-11-20T22:33:51.905Z | ++ git branch --show-current |
356 | 2024-11-20T22:33:51.907Z | + current=main |
357 | 2024-11-20T22:33:51.907Z | + [[ main != git-sha-info ]] |
358 | 2024-11-20T22:33:51.908Z | + git branch -f git-sha-info 0dd047fa72cc30b4d3c4c0c336a1bdbef3acbc3b |
359 | 2024-11-20T22:33:51.909Z | + git checkout -f git-sha-info |
360 | 2024-11-20T22:33:51.930Z | Switched to branch 'git-sha-info' |
361 | 2024-11-20T22:33:51.932Z | + git reset --hard 0dd047fa72cc30b4d3c4c0c336a1bdbef3acbc3b |
362 | 2024-11-20T22:33:51.950Z | HEAD is now at 0dd047f Git SHA gen and common info block |
363 | 2024-11-20T22:33:51.953Z | process exited: duration 1147 ms, exit code 0 |
|
364 | 2024-11-20T22:33:51.959Z | starting task 3: "build" |
365 | 2024-11-20T22:33:51.962Z | + override_urls=('git://github.com/' 'git@github.com:' 'ssh://github.com/' 'ssh://git@github.com/') |
366 | 2024-11-20T22:33:51.962Z | + (( i = 0 )) |
367 | 2024-11-20T22:33:51.962Z | + (( i < 4 )) |
368 | 2024-11-20T22:33:51.962Z | + git config --add --global url.https://github.com/.insteadOf git://github.com/ |
369 | 2024-11-20T22:33:51.962Z | + (( i++ )) |
370 | 2024-11-20T22:33:51.962Z | + (( i < 4 )) |
371 | 2024-11-20T22:33:51.962Z | + git config --add --global url.https://github.com/.insteadOf git@github.com: |
372 | 2024-11-20T22:33:51.965Z | + (( i++ )) |
373 | 2024-11-20T22:33:51.965Z | + (( i < 4 )) |
374 | 2024-11-20T22:33:51.965Z | + git config --add --global url.https://github.com/.insteadOf ssh://github.com/ |
375 | 2024-11-20T22:33:51.965Z | + (( i++ )) |
376 | 2024-11-20T22:33:51.965Z | + (( i < 4 )) |
377 | 2024-11-20T22:33:51.965Z | + git config --add --global url.https://github.com/.insteadOf ssh://git@github.com/ |
378 | 2024-11-20T22:33:51.965Z | + (( i++ )) |
379 | 2024-11-20T22:33:51.965Z | + (( i < 4 )) |
380 | 2024-11-20T22:33:51.965Z | + export CARGO_NET_GIT_FETCH_WITH_CLI=true |
381 | 2024-11-20T22:33:51.966Z | + CARGO_NET_GIT_FETCH_WITH_CLI=true |
382 | 2024-11-20T22:33:51.966Z | + git submodule sync |
383 | 2024-11-20T22:33:52.014Z | + git submodule update --init --recursive |
384 | 2024-11-20T22:33:52.025Z | Submodule 'prelude' (https://github.com/facebook/buck2-prelude.git) registered for path 'prelude' |
385 | 2024-11-20T22:33:52.028Z | Submodule 'vnd/cobble' (https://github.com/oxidecomputer/cobble-build.git) registered for path 'vnd/cobble' |
386 | 2024-11-20T22:33:52.028Z | Submodule 'vnd/xpm/xpm_vhdl' (https://github.com/oxidecomputer/xpm_vhdl.git) registered for path 'vnd/xpm/xpm_vhdl' |
387 | 2024-11-20T22:33:52.031Z | Cloning into '/work/oxidecomputer/quartz/prelude'... |
388 | 2024-11-20T22:33:52.997Z | Cloning into '/work/oxidecomputer/quartz/vnd/cobble'... |
389 | 2024-11-20T22:33:53.315Z | Cloning into '/work/oxidecomputer/quartz/vnd/xpm/xpm_vhdl'... |
390 | 2024-11-20T22:33:53.753Z | Submodule path 'prelude': checked out 'a3975d0e5e9b649e8361d98f2acd19a041bb9d12' |
391 | 2024-11-20T22:33:53.767Z | Submodule path 'vnd/cobble': checked out 'e5f5ddb1325539adf4f8762a7595856e629ae98a' |
392 | 2024-11-20T22:33:53.781Z | Submodule path 'vnd/xpm/xpm_vhdl': checked out 'dc506d10283ec6353889ca0dbd5788e5f8610351' |
393 | 2024-11-20T22:33:53.784Z | + pfexec apt -y update |
394 | 2024-11-20T22:33:53.848Z | |
395 | 2024-11-20T22:33:53.849Z | WARNING: apt does not have a stable CLI interface. Use with caution in scripts. |
396 | 2024-11-20T22:33:53.849Z | |
397 | 2024-11-20T22:33:53.892Z | Hit:1 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal InRelease |
398 | 2024-11-20T22:33:53.892Z | Hit:2 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates InRelease |
399 | 2024-11-20T22:33:53.895Z | Hit:3 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-backports InRelease |
400 | 2024-11-20T22:33:54.026Z | Hit:4 http://security.ubuntu.com/ubuntu focal-security InRelease |
401 | 2024-11-20T22:33:55.259Z | Reading package lists... |
402 | 2024-11-20T22:33:55.265Z | Building dependency tree... |
403 | 2024-11-20T22:33:55.265Z | Reading state information... |
404 | 2024-11-20T22:33:55.265Z | 211 packages can be upgraded. Run 'apt list --upgradable' to see them. |
405 | 2024-11-20T22:33:55.265Z | + pfexec apt -y install make |
406 | 2024-11-20T22:33:55.265Z | |
407 | 2024-11-20T22:33:55.265Z | WARNING: apt does not have a stable CLI interface. Use with caution in scripts. |
408 | 2024-11-20T22:33:55.265Z | |
409 | 2024-11-20T22:33:55.265Z | Reading package lists... |
410 | 2024-11-20T22:33:55.265Z | Building dependency tree... |
411 | 2024-11-20T22:33:55.265Z | Reading state information... |
412 | 2024-11-20T22:33:55.382Z | make is already the newest version (4.2.1-1.2). |
413 | 2024-11-20T22:33:55.383Z | make set to manually installed. |
414 | 2024-11-20T22:33:55.435Z | 0 upgraded, 0 newly installed, 0 to remove and 211 not upgraded. |
415 | 2024-11-20T22:33:55.477Z | + banner Yosys Install |
416 | 2024-11-20T22:33:55.481Z | # # |
417 | 2024-11-20T22:33:55.481Z | # # #### #### # # #### |
418 | 2024-11-20T22:33:55.481Z | # # # # # # # # |
419 | 2024-11-20T22:33:55.481Z | # # # #### # #### |
420 | 2024-11-20T22:33:55.481Z | # # # # # # |
421 | 2024-11-20T22:33:55.481Z | # # # # # # # # |
422 | 2024-11-20T22:33:55.481Z | # #### #### # #### |
423 | 2024-11-20T22:33:55.481Z | |
424 | 2024-11-20T22:33:55.481Z | ### |
425 | 2024-11-20T22:33:55.481Z | # # # #### ##### ## # # |
426 | 2024-11-20T22:33:55.481Z | # ## # # # # # # # |
427 | 2024-11-20T22:33:55.483Z | # # # # #### # # # # # |
428 | 2024-11-20T22:33:55.483Z | # # # # # # ###### # # |
429 | 2024-11-20T22:33:55.483Z | # # ## # # # # # # # |
430 | 2024-11-20T22:33:55.483Z | ### # # #### # # # ###### ###### |
431 | 2024-11-20T22:33:55.483Z | |
432 | 2024-11-20T22:33:55.483Z | + YOSYS_TOOLCHAIN=https://github.com/YosysHQ/oss-cad-suite-build/releases/download/2024-05-13/oss-cad-suite-linux-x64-20240513.tgz |
433 | 2024-11-20T22:33:55.483Z | + wget -q https://github.com/YosysHQ/oss-cad-suite-build/releases/download/2024-05-13/oss-cad-suite-linux-x64-20240513.tgz |
434 | 2024-11-20T22:33:58.893Z | + tar zxf oss-cad-suite-linux-x64-20240513.tgz |
435 | 2024-11-20T22:34:09.377Z | + banner Bluespec Install |
436 | 2024-11-20T22:34:09.383Z | ###### |
437 | 2024-11-20T22:34:09.386Z | # # # # # ###### #### ##### ###### #### |
438 | 2024-11-20T22:34:09.386Z | # # # # # # # # # # # # |
439 | 2024-11-20T22:34:09.386Z | ###### # # # ##### #### # # ##### # |
440 | 2024-11-20T22:34:09.386Z | # # # # # # # ##### # # |
441 | 2024-11-20T22:34:09.387Z | # # # # # # # # # # # # |
442 | 2024-11-20T22:34:09.387Z | ###### ###### #### ###### #### # ###### #### |
443 | 2024-11-20T22:34:09.387Z | |
444 | 2024-11-20T22:34:09.387Z | ### |
445 | 2024-11-20T22:34:09.387Z | # # # #### ##### ## # # |
446 | 2024-11-20T22:34:09.387Z | # ## # # # # # # # |
447 | 2024-11-20T22:34:09.387Z | # # # # #### # # # # # |
448 | 2024-11-20T22:34:09.387Z | # # # # # # ###### # # |
449 | 2024-11-20T22:34:09.387Z | # # ## # # # # # # # |
450 | 2024-11-20T22:34:09.387Z | ### # # #### # # # ###### ###### |
451 | 2024-11-20T22:34:09.387Z | |
452 | 2024-11-20T22:34:09.387Z | + BSV_TOOLCHAIN=https://github.com/B-Lang-org/bsc/releases/download/2022.01/bsc-2022.01-ubuntu-20.04.tar.gz |
453 | 2024-11-20T22:34:09.387Z | + wget -q https://github.com/B-Lang-org/bsc/releases/download/2022.01/bsc-2022.01-ubuntu-20.04.tar.gz |
454 | 2024-11-20T22:34:09.792Z | + tar zxf bsc-2022.01-ubuntu-20.04.tar.gz |
455 | 2024-11-20T22:34:10.260Z | + banner cobalt Setup |
456 | 2024-11-20T22:34:10.263Z | |
457 | 2024-11-20T22:34:10.263Z | #### #### ##### ## # ##### |
458 | 2024-11-20T22:34:10.263Z | # # # # # # # # # # |
459 | 2024-11-20T22:34:10.263Z | # # # ##### # # # # |
460 | 2024-11-20T22:34:10.263Z | # # # # # ###### # # |
461 | 2024-11-20T22:34:10.263Z | # # # # # # # # # # |
462 | 2024-11-20T22:34:10.263Z | #### #### ##### # # ###### # |
463 | 2024-11-20T22:34:10.263Z | |
464 | 2024-11-20T22:34:10.263Z | ##### |
465 | 2024-11-20T22:34:10.264Z | # # ###### ##### # # ##### |
466 | 2024-11-20T22:34:10.264Z | # # # # # # # |
467 | 2024-11-20T22:34:10.264Z | ##### ##### # # # # # |
468 | 2024-11-20T22:34:10.264Z | # # # # # ##### |
469 | 2024-11-20T22:34:10.264Z | # # # # # # # |
470 | 2024-11-20T22:34:10.264Z | ##### ###### # #### # |
471 | 2024-11-20T22:34:10.264Z | |
472 | 2024-11-20T22:34:10.264Z | + pushd tools |
473 | 2024-11-20T22:34:10.264Z | /work/oxidecomputer/quartz/tools /work/oxidecomputer/quartz |
474 | 2024-11-20T22:34:10.264Z | + pfexec apt -y install python3-pip ninja-build |
475 | 2024-11-20T22:34:10.269Z | |
476 | 2024-11-20T22:34:10.269Z | WARNING: apt does not have a stable CLI interface. Use with caution in scripts. |
477 | 2024-11-20T22:34:10.269Z | |
478 | 2024-11-20T22:34:10.301Z | Reading package lists... |
479 | 2024-11-20T22:34:10.447Z | Building dependency tree... |
480 | 2024-11-20T22:34:10.450Z | Reading state information... |
481 | 2024-11-20T22:34:10.567Z | The following additional packages will be installed: |
482 | 2024-11-20T22:34:10.569Z | libexpat1 libexpat1-dev libpython3-dev libpython3.8 libpython3.8-dev |
483 | 2024-11-20T22:34:10.569Z | libpython3.8-minimal libpython3.8-stdlib python-pip-whl python3-dev |
484 | 2024-11-20T22:34:10.569Z | python3-wheel python3.8 python3.8-dev python3.8-minimal zlib1g zlib1g-dev |
485 | 2024-11-20T22:34:10.569Z | Suggested packages: |
486 | 2024-11-20T22:34:10.569Z | python3.8-venv python3.8-doc binfmt-support |
487 | 2024-11-20T22:34:10.607Z | The following NEW packages will be installed: |
488 | 2024-11-20T22:34:10.610Z | libexpat1-dev libpython3-dev libpython3.8-dev ninja-build python-pip-whl |
489 | 2024-11-20T22:34:10.610Z | python3-dev python3-pip python3-wheel python3.8-dev zlib1g-dev |
490 | 2024-11-20T22:34:10.611Z | The following packages will be upgraded: |
491 | 2024-11-20T22:34:10.611Z | libexpat1 libpython3.8 libpython3.8-minimal libpython3.8-stdlib python3.8 |
492 | 2024-11-20T22:34:10.611Z | python3.8-minimal zlib1g |
493 | 2024-11-20T22:34:10.630Z | 7 upgraded, 10 newly installed, 0 to remove and 204 not upgraded. |
494 | 2024-11-20T22:34:10.661Z | Need to get 13.3 MB of archives. |
495 | 2024-11-20T22:34:10.662Z | After this operation, 25.9 MB of additional disk space will be used. |
496 | 2024-11-20T22:34:10.665Z | Get:1 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libexpat1 amd64 2.2.9-1ubuntu0.7 [74.7 kB] |
497 | 2024-11-20T22:34:10.669Z | Get:2 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 zlib1g amd64 1:1.2.11.dfsg-2ubuntu1.5 [54.2 kB] |
498 | 2024-11-20T22:34:10.669Z | Get:3 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libpython3.8 amd64 3.8.10-0ubuntu1~20.04.13 [1,625 kB] |
499 | 2024-11-20T22:34:10.699Z | Get:4 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 python3.8 amd64 3.8.10-0ubuntu1~20.04.13 [387 kB] |
500 | 2024-11-20T22:34:10.702Z | Get:5 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libpython3.8-stdlib amd64 3.8.10-0ubuntu1~20.04.13 [1,675 kB] |
501 | 2024-11-20T22:34:10.716Z | Get:6 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 python3.8-minimal amd64 3.8.10-0ubuntu1~20.04.13 [1,900 kB] |
502 | 2024-11-20T22:34:10.729Z | Get:7 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libpython3.8-minimal amd64 3.8.10-0ubuntu1~20.04.13 [720 kB] |
503 | 2024-11-20T22:34:10.734Z | Get:8 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libexpat1-dev amd64 2.2.9-1ubuntu0.7 [117 kB] |
504 | 2024-11-20T22:34:10.736Z | Get:9 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 libpython3.8-dev amd64 3.8.10-0ubuntu1~20.04.13 [3,950 kB] |
505 | 2024-11-20T22:34:10.765Z | Get:10 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 libpython3-dev amd64 3.8.2-0ubuntu2 [7,236 B] |
506 | 2024-11-20T22:34:10.768Z | Get:11 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/universe amd64 ninja-build amd64 1.10.0-1build1 [107 kB] |
507 | 2024-11-20T22:34:10.771Z | Get:12 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/universe amd64 python-pip-whl all 20.0.2-5ubuntu1.11 [1,808 kB] |
508 | 2024-11-20T22:34:10.786Z | Get:13 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 zlib1g-dev amd64 1:1.2.11.dfsg-2ubuntu1.5 [155 kB] |
509 | 2024-11-20T22:34:10.789Z | Get:14 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/main amd64 python3.8-dev amd64 3.8.10-0ubuntu1~20.04.13 [514 kB] |
510 | 2024-11-20T22:34:10.792Z | Get:15 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal/main amd64 python3-dev amd64 3.8.2-0ubuntu2 [1,212 B] |
511 | 2024-11-20T22:34:10.795Z | Get:16 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/universe amd64 python3-wheel all 0.34.2-1ubuntu0.1 [23.9 kB] |
512 | 2024-11-20T22:34:10.795Z | Get:17 http://us-west-2.ec2.archive.ubuntu.com/ubuntu focal-updates/universe amd64 python3-pip all 20.0.2-5ubuntu1.11 [231 kB] |
513 | 2024-11-20T22:34:10.919Z | debconf: unable to initialize frontend: Dialog |
514 | 2024-11-20T22:34:10.919Z | debconf: (Dialog frontend will not work on a dumb terminal, an emacs shell buffer, or without a controlling terminal.) |
515 | 2024-11-20T22:34:10.919Z | debconf: falling back to frontend: Readline |
516 | 2024-11-20T22:34:10.923Z | debconf: unable to initialize frontend: Readline |
517 | 2024-11-20T22:34:10.923Z | debconf: (This frontend requires a controlling tty.) |
518 | 2024-11-20T22:34:10.923Z | debconf: falling back to frontend: Teletype |
519 | 2024-11-20T22:34:10.925Z | dpkg-preconfigure: unable to re-open stdin: |
520 | 2024-11-20T22:34:10.948Z | Fetched 13.3 MB in 0s (89.8 MB/s) |
521 | 2024-11-20T22:34:11.001Z | (Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 66933 files and directories currently installed.) |
522 | 2024-11-20T22:34:11.004Z | Preparing to unpack .../libexpat1_2.2.9-1ubuntu0.7_amd64.deb ... |
523 | 2024-11-20T22:34:11.022Z | Unpacking libexpat1:amd64 (2.2.9-1ubuntu0.7) over (2.2.9-1ubuntu0.4) ... |
524 | 2024-11-20T22:34:11.078Z | Preparing to unpack .../zlib1g_1%3a1.2.11.dfsg-2ubuntu1.5_amd64.deb ... |
525 | 2024-11-20T22:34:11.093Z | Unpacking zlib1g:amd64 (1:1.2.11.dfsg-2ubuntu1.5) over (1:1.2.11.dfsg-2ubuntu1.3) ... |
526 | 2024-11-20T22:34:11.155Z | Setting up zlib1g:amd64 (1:1.2.11.dfsg-2ubuntu1.5) ... |
527 | 2024-11-20T22:34:11.252Z | (Reading database ...
(Reading database ... 5%
(Reading database ... 10%
(Reading database ... 15%
(Reading database ... 20%
(Reading database ... 25%
(Reading database ... 30%
(Reading database ... 35%
(Reading database ... 40%
(Reading database ... 45%
(Reading database ... 50%
(Reading database ... 55%
(Reading database ... 60%
(Reading database ... 65%
(Reading database ... 70%
(Reading database ... 75%
(Reading database ... 80%
(Reading database ... 85%
(Reading database ... 90%
(Reading database ... 95%
(Reading database ... 100%
(Reading database ... 66933 files and directories currently installed.) |
528 | 2024-11-20T22:34:11.255Z | Preparing to unpack .../00-libpython3.8_3.8.10-0ubuntu1~20.04.13_amd64.deb ... |
529 | 2024-11-20T22:34:11.268Z | Unpacking libpython3.8:amd64 (3.8.10-0ubuntu1~20.04.13) over (3.8.10-0ubuntu1~20.04.4) ... |
530 | 2024-11-20T22:34:11.454Z | Preparing to unpack .../01-python3.8_3.8.10-0ubuntu1~20.04.13_amd64.deb ... |
531 | 2024-11-20T22:34:11.514Z | Unpacking python3.8 (3.8.10-0ubuntu1~20.04.13) over (3.8.10-0ubuntu1~20.04.4) ... |
532 | 2024-11-20T22:34:11.623Z | Preparing to unpack .../02-libpython3.8-stdlib_3.8.10-0ubuntu1~20.04.13_amd64.deb ... |
533 | 2024-11-20T22:34:11.654Z | Unpacking libpython3.8-stdlib:amd64 (3.8.10-0ubuntu1~20.04.13) over (3.8.10-0ubuntu1~20.04.4) ... |
534 | 2024-11-20T22:34:11.933Z | Preparing to unpack .../03-python3.8-minimal_3.8.10-0ubuntu1~20.04.13_amd64.deb ... |
535 | 2024-11-20T22:34:11.952Z | Unpacking python3.8-minimal (3.8.10-0ubuntu1~20.04.13) over (3.8.10-0ubuntu1~20.04.4) ... |
536 | 2024-11-20T22:34:12.231Z | Preparing to unpack .../04-libpython3.8-minimal_3.8.10-0ubuntu1~20.04.13_amd64.deb ... |
537 | 2024-11-20T22:34:12.285Z | Unpacking libpython3.8-minimal:amd64 (3.8.10-0ubuntu1~20.04.13) over (3.8.10-0ubuntu1~20.04.4) ... |
538 | 2024-11-20T22:34:12.447Z | Selecting previously unselected package libexpat1-dev:amd64. |
539 | 2024-11-20T22:34:12.452Z | Preparing to unpack .../05-libexpat1-dev_2.2.9-1ubuntu0.7_amd64.deb ... |
540 | 2024-11-20T22:34:12.467Z | Unpacking libexpat1-dev:amd64 (2.2.9-1ubuntu0.7) ... |
541 | 2024-11-20T22:34:12.907Z | Selecting previously unselected package libpython3.8-dev:amd64. |
542 | 2024-11-20T22:34:12.920Z | Preparing to unpack .../06-libpython3.8-dev_3.8.10-0ubuntu1~20.04.13_amd64.deb ... |
543 | 2024-11-20T22:34:12.920Z | Unpacking libpython3.8-dev:amd64 (3.8.10-0ubuntu1~20.04.13) ... |
544 | 2024-11-20T22:34:13.081Z | Selecting previously unselected package libpython3-dev:amd64. |
545 | 2024-11-20T22:34:13.084Z | Preparing to unpack .../07-libpython3-dev_3.8.2-0ubuntu2_amd64.deb ... |
546 | 2024-11-20T22:34:13.095Z | Unpacking libpython3-dev:amd64 (3.8.2-0ubuntu2) ... |
547 | 2024-11-20T22:34:13.156Z | Selecting previously unselected package ninja-build. |
548 | 2024-11-20T22:34:13.159Z | Preparing to unpack .../08-ninja-build_1.10.0-1build1_amd64.deb ... |
549 | 2024-11-20T22:34:13.168Z | Unpacking ninja-build (1.10.0-1build1) ... |
550 | 2024-11-20T22:34:13.259Z | Selecting previously unselected package python-pip-whl. |
551 | 2024-11-20T22:34:13.262Z | Preparing to unpack .../09-python-pip-whl_20.0.2-5ubuntu1.11_all.deb ... |
552 | 2024-11-20T22:34:13.269Z | Unpacking python-pip-whl (20.0.2-5ubuntu1.11) ... |
553 | 2024-11-20T22:34:13.401Z | Selecting previously unselected package zlib1g-dev:amd64. |
554 | 2024-11-20T22:34:13.404Z | Preparing to unpack .../10-zlib1g-dev_1%3a1.2.11.dfsg-2ubuntu1.5_amd64.deb ... |
555 | 2024-11-20T22:34:13.409Z | Unpacking zlib1g-dev:amd64 (1:1.2.11.dfsg-2ubuntu1.5) ... |
556 | 2024-11-20T22:34:13.470Z | Selecting previously unselected package python3.8-dev. |
557 | 2024-11-20T22:34:13.473Z | Preparing to unpack .../11-python3.8-dev_3.8.10-0ubuntu1~20.04.13_amd64.deb ... |
558 | 2024-11-20T22:34:13.479Z | Unpacking python3.8-dev (3.8.10-0ubuntu1~20.04.13) ... |
559 | 2024-11-20T22:34:13.547Z | Selecting previously unselected package python3-dev. |
560 | 2024-11-20T22:34:13.551Z | Preparing to unpack .../12-python3-dev_3.8.2-0ubuntu2_amd64.deb ... |
561 | 2024-11-20T22:34:13.555Z | Unpacking python3-dev (3.8.2-0ubuntu2) ... |
562 | 2024-11-20T22:34:13.593Z | Selecting previously unselected package python3-wheel. |
563 | 2024-11-20T22:34:13.596Z | Preparing to unpack .../13-python3-wheel_0.34.2-1ubuntu0.1_all.deb ... |
564 | 2024-11-20T22:34:13.604Z | Unpacking python3-wheel (0.34.2-1ubuntu0.1) ... |
565 | 2024-11-20T22:34:13.717Z | Selecting previously unselected package python3-pip. |
566 | 2024-11-20T22:34:13.720Z | Preparing to unpack .../14-python3-pip_20.0.2-5ubuntu1.11_all.deb ... |
567 | 2024-11-20T22:34:13.725Z | Unpacking python3-pip (20.0.2-5ubuntu1.11) ... |
568 | 2024-11-20T22:34:13.874Z | Setting up libexpat1:amd64 (2.2.9-1ubuntu0.7) ... |
569 | 2024-11-20T22:34:13.890Z | Setting up libpython3.8-minimal:amd64 (3.8.10-0ubuntu1~20.04.13) ... |
570 | 2024-11-20T22:34:13.911Z | Setting up python3-wheel (0.34.2-1ubuntu0.1) ... |
571 | 2024-11-20T22:34:14.110Z | Setting up ninja-build (1.10.0-1build1) ... |
572 | 2024-11-20T22:34:14.131Z | Setting up libexpat1-dev:amd64 (2.2.9-1ubuntu0.7) ... |
573 | 2024-11-20T22:34:14.150Z | Setting up zlib1g-dev:amd64 (1:1.2.11.dfsg-2ubuntu1.5) ... |
574 | 2024-11-20T22:34:14.175Z | Setting up python3.8-minimal (3.8.10-0ubuntu1~20.04.13) ... |
575 | 2024-11-20T22:34:14.498Z | Setting up python-pip-whl (20.0.2-5ubuntu1.11) ... |
576 | 2024-11-20T22:34:14.523Z | Setting up libpython3.8-stdlib:amd64 (3.8.10-0ubuntu1~20.04.13) ... |
577 | 2024-11-20T22:34:14.537Z | Setting up python3.8 (3.8.10-0ubuntu1~20.04.13) ... |
578 | 2024-11-20T22:34:14.946Z | Setting up libpython3.8:amd64 (3.8.10-0ubuntu1~20.04.13) ... |
579 | 2024-11-20T22:34:14.967Z | Setting up python3-pip (20.0.2-5ubuntu1.11) ... |
580 | 2024-11-20T22:34:15.132Z | Setting up libpython3.8-dev:amd64 (3.8.10-0ubuntu1~20.04.13) ... |
581 | 2024-11-20T22:34:15.146Z | Setting up python3.8-dev (3.8.10-0ubuntu1~20.04.13) ... |
582 | 2024-11-20T22:34:15.163Z | Setting up libpython3-dev:amd64 (3.8.2-0ubuntu2) ... |
583 | 2024-11-20T22:34:15.177Z | Setting up python3-dev (3.8.2-0ubuntu2) ... |
584 | 2024-11-20T22:34:15.192Z | Processing triggers for libc-bin (2.31-0ubuntu9.9) ... |
585 | 2024-11-20T22:34:15.213Z | Processing triggers for man-db (2.9.1-1) ... |
586 | 2024-11-20T22:34:15.418Z | Processing triggers for mime-support (3.64ubuntu1) ... |
587 | 2024-11-20T22:34:18.101Z | + pip3 install -r requirements.txt |
588 | 2024-11-20T22:34:19.104Z | Collecting systemrdl-compiler==1.23.0 |
589 | 2024-11-20T22:34:19.144Z | Downloading systemrdl_compiler-1.23.0-cp38-cp38-manylinux_2_12_x86_64.manylinux2010_x86_64.whl (10.1 MB) |
590 | 2024-11-20T22:34:19.590Z | Collecting click==8.1.2 |
591 | 2024-11-20T22:34:19.598Z | Downloading click-8.1.2-py3-none-any.whl (96 kB) |
592 | 2024-11-20T22:34:19.643Z | Collecting Jinja2==3.1.4 |
593 | 2024-11-20T22:34:19.653Z | Downloading jinja2-3.1.4-py3-none-any.whl (133 kB) |
594 | 2024-11-20T22:34:19.705Z | Collecting inflection==0.5.1 |
595 | 2024-11-20T22:34:19.718Z | Downloading inflection-0.5.1-py2.py3-none-any.whl (9.5 kB) |
596 | 2024-11-20T22:34:19.771Z | Collecting vunit_hdl==4.7.0 |
597 | 2024-11-20T22:34:19.781Z | Downloading vunit_hdl-4.7.0.tar.gz (665 kB) |
598 | 2024-11-20T22:34:19.941Z | Installing build dependencies: started |
599 | 2024-11-20T22:34:22.044Z | Installing build dependencies: finished with status 'done' |
600 | 2024-11-20T22:34:22.047Z | Getting requirements to build wheel: started |
601 | 2024-11-20T22:34:22.289Z | Getting requirements to build wheel: finished with status 'done' |
602 | 2024-11-20T22:34:22.291Z | Preparing wheel metadata: started |
603 | 2024-11-20T22:34:22.493Z | Preparing wheel metadata: finished with status 'done' |
604 | 2024-11-20T22:34:22.545Z | Collecting tomli-w==1.0.0 |
605 | 2024-11-20T22:34:22.555Z | Downloading tomli_w-1.0.0-py3-none-any.whl (6.0 kB) |
606 | 2024-11-20T22:34:22.608Z | Collecting crc==7.0.0 |
607 | 2024-11-20T22:34:22.618Z | Downloading crc-7.0.0-py3-none-any.whl (8.7 kB) |
608 | 2024-11-20T22:34:22.685Z | Collecting markdown |
609 | 2024-11-20T22:34:22.695Z | Downloading Markdown-3.7-py3-none-any.whl (106 kB) |
610 | 2024-11-20T22:34:22.755Z | Collecting antlr4-python3-runtime<4.10,>=4.9 |
611 | 2024-11-20T22:34:22.765Z | Downloading antlr4-python3-runtime-4.9.3.tar.gz (117 kB) |
612 | 2024-11-20T22:34:23.029Z | Requirement already satisfied: colorama in /usr/lib/python3/dist-packages (from systemrdl-compiler==1.23.0->-r requirements.txt (line 1)) (0.4.3) |
613 | 2024-11-20T22:34:23.218Z | Collecting MarkupSafe>=2.0 |
614 | 2024-11-20T22:34:23.227Z | Downloading MarkupSafe-2.1.5-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (26 kB) |
615 | 2024-11-20T22:34:23.320Z | Collecting importlib-metadata>=4.4; python_version < "3.10" |
616 | 2024-11-20T22:34:23.329Z | Downloading importlib_metadata-8.5.0-py3-none-any.whl (26 kB) |
617 | 2024-11-20T22:34:23.391Z | Collecting zipp>=3.20 |
618 | 2024-11-20T22:34:23.400Z | Downloading zipp-3.20.2-py3-none-any.whl (9.2 kB) |
619 | 2024-11-20T22:34:23.433Z | Building wheels for collected packages: vunit-hdl, antlr4-python3-runtime |
620 | 2024-11-20T22:34:23.436Z | Building wheel for vunit-hdl (PEP 517): started |
621 | 2024-11-20T22:34:24.040Z | Building wheel for vunit-hdl (PEP 517): finished with status 'done' |
622 | 2024-11-20T22:34:24.044Z | Created wheel for vunit-hdl: filename=vunit_hdl-4.7.0-py3-none-any.whl size=987416 sha256=5ba6c0b272d23453573d70f6c9a60a4e588e832bc7e1c942e09989f2291badfc |
623 | 2024-11-20T22:34:24.044Z | Stored in directory: /home/build/.cache/pip/wheels/85/6f/a3/592f6507443c0a8b48887353f8786db7aeb81a6b10234bfd6a |
624 | 2024-11-20T22:34:24.044Z | Building wheel for antlr4-python3-runtime (setup.py): started |
625 | 2024-11-20T22:34:24.234Z | Building wheel for antlr4-python3-runtime (setup.py): finished with status 'done' |
626 | 2024-11-20T22:34:24.237Z | Created wheel for antlr4-python3-runtime: filename=antlr4_python3_runtime-4.9.3-py3-none-any.whl size=144573 sha256=f716fb00cb218fec40d5630d2b398da4ce0a15efef63b593cb66f2d363d6469e |
627 | 2024-11-20T22:34:24.237Z | Stored in directory: /home/build/.cache/pip/wheels/b1/a3/c2/6df046c09459b73cc9bb6c4401b0be6c47048baf9a1617c485 |
628 | 2024-11-20T22:34:24.237Z | Successfully built vunit-hdl antlr4-python3-runtime |
629 | 2024-11-20T22:34:24.329Z | Installing collected packages: zipp, importlib-metadata, markdown, antlr4-python3-runtime, systemrdl-compiler, click, MarkupSafe, Jinja2, inflection, vunit-hdl, tomli-w, crc |
630 | 2024-11-20T22:34:24.387Z | WARNING: The script markdown_py is installed in '/home/build/.local/bin' which is not on PATH. |
631 | 2024-11-20T22:34:24.387Z | Consider adding this directory to PATH or, if you prefer to suppress this warning, use --no-warn-script-location. |
632 | 2024-11-20T22:34:25.124Z | WARNING: The script crc is installed in '/home/build/.local/bin' which is not on PATH. |
633 | 2024-11-20T22:34:25.124Z | Consider adding this directory to PATH or, if you prefer to suppress this warning, use --no-warn-script-location. |
634 | 2024-11-20T22:34:25.128Z | Successfully installed Jinja2-3.1.4 MarkupSafe-2.1.5 antlr4-python3-runtime-4.9.3 click-8.1.2 crc-7.0.0 importlib-metadata-8.5.0 inflection-0.5.1 markdown-3.7 systemrdl-compiler-1.23.0 tomli-w-1.0.0 vunit-hdl-4.7.0 zipp-3.20.2 |
635 | 2024-11-20T22:34:25.207Z | + popd |
636 | 2024-11-20T22:34:25.207Z | /work/oxidecomputer/quartz |
637 | 2024-11-20T22:34:25.213Z | + cp BUILD.vars.buildomat BUILD.vars |
638 | 2024-11-20T22:34:25.213Z | + mkdir build |
639 | 2024-11-20T22:34:25.213Z | + pushd build |
640 | 2024-11-20T22:34:25.213Z | /work/oxidecomputer/quartz/build /work/oxidecomputer/quartz |
641 | 2024-11-20T22:34:25.213Z | + ../vnd/cobble/cobble init .. --reinit |
642 | 2024-11-20T22:34:25.683Z | Git sha changed, updating file |
643 | 2024-11-20T22:34:25.695Z | + banner FPGA Builds |
644 | 2024-11-20T22:34:25.699Z | ####### ###### ##### # |
645 | 2024-11-20T22:34:25.699Z | # # # # # # # |
646 | 2024-11-20T22:34:25.699Z | # # # # # # |
647 | 2024-11-20T22:34:25.699Z | ##### ###### # #### # # |
648 | 2024-11-20T22:34:25.699Z | # # # # ####### |
649 | 2024-11-20T22:34:25.699Z | # # # # # # |
650 | 2024-11-20T22:34:25.700Z | # # ##### # # |
651 | 2024-11-20T22:34:25.700Z | |
652 | 2024-11-20T22:34:25.700Z | ###### |
653 | 2024-11-20T22:34:25.700Z | # # # # # # ##### #### |
654 | 2024-11-20T22:34:25.700Z | # # # # # # # # # |
655 | 2024-11-20T22:34:25.700Z | ###### # # # # # # #### |
656 | 2024-11-20T22:34:25.700Z | # # # # # # # # # |
657 | 2024-11-20T22:34:25.700Z | # # # # # # # # # # |
658 | 2024-11-20T22:34:25.700Z | ###### #### # ###### ##### #### |
659 | 2024-11-20T22:34:25.700Z | |
660 | 2024-11-20T22:34:25.700Z | + ./cobble build -v '//.*#bitstream' |
661 | 2024-11-20T22:34:26.000Z | 28 query result(s) |
662 | 2024-11-20T22:34:26.000Z | //hdl/projects/ecp5_evn:blinky_ecp5_evn#bitstream -> ./env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ecp5_evn/blinky_ecp5_evn.bit |
663 | 2024-11-20T22:34:26.000Z | //hdl/projects/ecp5_evn:clocks_ecp5_evn#bitstream -> ./env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ecp5_evn/clocks_ecp5_evn.bit |
664 | 2024-11-20T22:34:26.000Z | //hdl/projects/ecp5_evn:loopback_uart_ecp5_evn#bitstream -> ./env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.bit |
665 | 2024-11-20T22:34:26.000Z | //hdl/projects/gimlet/ignition_target:ignition_target_gimlet_rev_b_c_d#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.bit |
666 | 2024-11-20T22:34:26.000Z | //hdl/projects/gimlet/ignition_target:ignition_target_gimlet_rev_b_c_d_power_button#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.bit |
667 | 2024-11-20T22:34:26.000Z | //hdl/projects/gimlet/ignition_target:ignition_target_gimlet_rev_b_c_d_reset_button#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.bit |
668 | 2024-11-20T22:34:26.000Z | //hdl/projects/gimlet/sequencer:gimlet_sdle_only#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/sequencer/gimlet_sdle_only.bit |
669 | 2024-11-20T22:34:26.000Z | //hdl/projects/gimlet/sequencer:gimlet_sequencer#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/sequencer/gimlet_sequencer.bit |
670 | 2024-11-20T22:34:26.000Z | //hdl/projects/icestick:blinky_icestick#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/icestick/blinky_icestick.bit |
671 | 2024-11-20T22:34:26.000Z | //hdl/projects/icestick:loopback_uart_icestick#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/icestick/loopback_uart_icestick.bit |
672 | 2024-11-20T22:34:26.008Z | //hdl/projects/ignitionlet:ignitionlet_sequencer_blinky#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.bit |
673 | 2024-11-20T22:34:26.008Z | //hdl/projects/ignitionlet:ignitionlet_target_power_button#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/ignitionlet_target_power_button.bit |
674 | 2024-11-20T22:34:26.008Z | //hdl/projects/ignitionlet:ignitionlet_target_reset_button#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/ignitionlet_target_reset_button.bit |
675 | 2024-11-20T22:34:26.013Z | //hdl/projects/ignitionlet:transceiver_debug#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/transceiver_debug.bit |
676 | 2024-11-20T22:34:26.013Z | //hdl/projects/psc:ignition_target_psc_rev_a_reset_button#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.bit |
677 | 2024-11-20T22:34:26.013Z | //hdl/projects/psc:ignition_target_psc_rev_b#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/psc/ignition_target_psc_rev_b.bit |
678 | 2024-11-20T22:34:26.013Z | //hdl/projects/psc:ignition_target_psc_rev_b_reset_button#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.bit |
679 | 2024-11-20T22:34:26.013Z | //hdl/projects/sidecar/ignition_target:ignition_target_sidecar_rev_a_power_button#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.bit |
680 | 2024-11-20T22:34:26.013Z | //hdl/projects/sidecar/ignition_target:ignition_target_sidecar_rev_a_reset_button#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.bit |
681 | 2024-11-20T22:34:26.013Z | //hdl/projects/sidecar/ignition_target:ignition_target_sidecar_rev_b_c#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.bit |
682 | 2024-11-20T22:34:26.013Z | //hdl/projects/sidecar/ignition_target:ignition_target_sidecar_rev_b_c_power_button#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.bit |
683 | 2024-11-20T22:34:26.013Z | //hdl/projects/sidecar/ignition_target:ignition_target_sidecar_rev_b_c_reset_button#bitstream -> ./env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.bit |
684 | 2024-11-20T22:34:26.013Z | //hdl/projects/sidecar/mainboard/emulator:sidecar_mainboard_emulator_ecp5_evn#bitstream -> ./env/056955e0b805c8361acb6447338c5173396159ea/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.bit |
685 | 2024-11-20T22:34:26.013Z | //hdl/projects/sidecar/mainboard:sidecar_mainboard_controller_rev_b#bitstream -> ./env/056955e0b805c8361acb6447338c5173396159ea/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.bit |
686 | 2024-11-20T22:34:26.013Z | //hdl/projects/sidecar/mainboard:sidecar_mainboard_controller_rev_cd#bitstream -> ./env/056955e0b805c8361acb6447338c5173396159ea/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.bit |
687 | 2024-11-20T22:34:26.013Z | //hdl/projects/sidecar/qsfp_x32:sidecar_qsfp_x32_controller_rev_b_c#bitstream -> ./env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.bit |
688 | 2024-11-20T22:34:26.013Z | //hdl/projects/ulx3s:blinky_ulx3s#bitstream -> ./env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ulx3s/blinky_ulx3s.bit |
689 | 2024-11-20T22:34:26.013Z | //hdl/projects/ulx3s:loopback_uart_ulx3s#bitstream -> ./env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ulx3s/loopback_uart_ulx3s.bit |
690 | 2024-11-20T22:34:26.518Z | [1/1] ./cobble init --reinit .. |
691 | 2024-11-20T22:34:26.533Z | [1/355] touch env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/.force-dir-creation |
692 | 2024-11-20T22:34:26.536Z | [2/355] touch env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/.force-dir-creation |
693 | 2024-11-20T22:34:26.536Z | [3/355] touch env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/.force-dir-creation |
694 | 2024-11-20T22:34:26.536Z | [4/355] touch env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO/.force-dir-creation |
695 | 2024-11-20T22:34:26.536Z | [5/355] touch env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/.force-dir-creation |
696 | 2024-11-20T22:34:26.536Z | [6/355] touch env/9858bc490ba7f5424b6a70741c9b2ddc377ee4fc/hdl/ip/bsv/examples/.force-dir-creation |
697 | 2024-11-20T22:34:26.536Z | [7/355] touch env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples/.force-dir-creation |
698 | 2024-11-20T22:34:26.536Z | [8/355] touch env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/.force-dir-creation |
699 | 2024-11-20T22:34:26.536Z | [9/355] awk '$1=$1' RS=';' env/ac03b78aea79d92e1200b15cae2f79cf15a6ee92/hdl/projects/gimlet/sequencer/gimlet_sdle_yosys.ys.rsp > env/ac03b78aea79d92e1200b15cae2f79cf15a6ee92/hdl/projects/gimlet/sequencer/gimlet_sdle_yosys.ys |
700 | 2024-11-20T22:34:26.536Z | [10/355] awk '$1=$1' RS=';' env/d245061359befe0b24dcd0d806bf1af9ce39afe2/hdl/projects/gimlet/sequencer/gimlet_sequencer_yosys.ys.rsp > env/d245061359befe0b24dcd0d806bf1af9ce39afe2/hdl/projects/gimlet/sequencer/gimlet_sequencer_yosys.ys |
701 | 2024-11-20T22:34:26.536Z | [11/355] touch env/1b683657c28c182a3663ba012c766c2639805582/hdl/projects/gimlet/sequencer/.force-dir-creation |
702 | 2024-11-20T22:34:26.536Z | [12/355] touch env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/.force-dir-creation |
703 | 2024-11-20T22:34:26.539Z | [13/355] touch env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/.force-dir-creation |
704 | 2024-11-20T22:34:26.539Z | [14/355] touch env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/.force-dir-creation |
705 | 2024-11-20T22:34:26.539Z | [15/355] touch env/9b799a57d4ea69c47e5bd8f094d7110167e8194e/hdl/ip/bsv/ignition/.force-dir-creation |
706 | 2024-11-20T22:34:26.539Z | [16/355] touch env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/.force-dir-creation |
707 | 2024-11-20T22:34:26.540Z | [17/355] touch env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/.force-dir-creation |
708 | 2024-11-20T22:34:26.540Z | [18/355] touch env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/.force-dir-creation |
709 | 2024-11-20T22:34:26.540Z | [19/355] touch env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/.force-dir-creation |
710 | 2024-11-20T22:34:26.540Z | [20/355] touch env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/.force-dir-creation |
711 | 2024-11-20T22:34:26.540Z | [21/355] touch env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces/.force-dir-creation |
712 | 2024-11-20T22:34:26.540Z | [22/355] touch env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/.force-dir-creation |
713 | 2024-11-20T22:34:26.540Z | [23/355] touch env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/.force-dir-creation |
714 | 2024-11-20T22:34:26.542Z | [24/355] touch env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/.force-dir-creation |
715 | 2024-11-20T22:34:26.542Z | [25/355] touch env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/.force-dir-creation |
716 | 2024-11-20T22:34:26.542Z | [26/355] touch env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/.force-dir-creation |
717 | 2024-11-20T22:34:26.542Z | [27/355] touch env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/.force-dir-creation |
718 | 2024-11-20T22:34:26.545Z | [28/355] touch env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/.force-dir-creation |
719 | 2024-11-20T22:34:26.545Z | [29/355] touch env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/.force-dir-creation |
720 | 2024-11-20T22:34:26.545Z | [30/355] touch env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv/.force-dir-creation |
721 | 2024-11-20T22:34:26.545Z | [31/355] touch env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/.force-dir-creation |
722 | 2024-11-20T22:34:26.546Z | [32/355] touch env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/.force-dir-creation |
723 | 2024-11-20T22:34:26.546Z | [33/355] touch env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/.force-dir-creation |
724 | 2024-11-20T22:34:26.546Z | [34/355] touch env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/.force-dir-creation |
725 | 2024-11-20T22:34:26.546Z | [35/355] touch env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/.force-dir-creation |
726 | 2024-11-20T22:34:26.546Z | [36/355] touch env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/.force-dir-creation |
727 | 2024-11-20T22:34:26.546Z | [37/355] touch env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/.force-dir-creation |
728 | 2024-11-20T22:34:26.546Z | [38/355] touch env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/.force-dir-creation |
729 | 2024-11-20T22:34:26.546Z | [39/355] touch env/0cca0c47c3fd66b240ed47ee1b0c79cf1134aedb/hdl/ip/bsv/.force-dir-creation |
730 | 2024-11-20T22:34:26.546Z | [40/355] touch env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/.force-dir-creation |
731 | 2024-11-20T22:34:26.547Z | [41/355] touch env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/.force-dir-creation |
732 | 2024-11-20T22:34:26.547Z | [42/355] touch env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/.force-dir-creation |
733 | 2024-11-20T22:34:26.547Z | [43/355] touch env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/.force-dir-creation |
734 | 2024-11-20T22:34:26.547Z | [44/355] touch env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/.force-dir-creation |
735 | 2024-11-20T22:34:26.547Z | [45/355] touch env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/.force-dir-creation |
736 | 2024-11-20T22:34:26.547Z | [46/355] touch env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/.force-dir-creation |
737 | 2024-11-20T22:34:26.547Z | [47/355] touch env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/.force-dir-creation |
738 | 2024-11-20T22:34:26.550Z | [48/355] touch env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/.force-dir-creation |
739 | 2024-11-20T22:34:26.550Z | [49/355] touch env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/.force-dir-creation |
740 | 2024-11-20T22:34:26.550Z | [50/355] touch env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/.force-dir-creation |
741 | 2024-11-20T22:34:26.550Z | [51/355] touch env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/.force-dir-creation |
742 | 2024-11-20T22:34:26.552Z | [52/355] touch env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/.force-dir-creation |
743 | 2024-11-20T22:34:26.552Z | [53/355] touch env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/.force-dir-creation |
744 | 2024-11-20T22:34:26.552Z | [54/355] touch env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv/.force-dir-creation |
745 | 2024-11-20T22:34:26.552Z | [55/355] touch env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/.force-dir-creation |
746 | 2024-11-20T22:34:26.552Z | [56/355] touch env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/.force-dir-creation |
747 | 2024-11-20T22:34:26.552Z | [57/355] touch env/92eb897f6dc6cce7ae8cc335a159d2ae1560166a/hdl/projects/ecp5_evn/.force-dir-creation |
748 | 2024-11-20T22:34:26.552Z | [58/355] awk '$1=$1' RS=';' env/698e521e22f1cddb5ce813e057602c7d075113e9/hdl/projects/ecp5_evn/blinky.ys.rsp > env/698e521e22f1cddb5ce813e057602c7d075113e9/hdl/projects/ecp5_evn/blinky.ys |
749 | 2024-11-20T22:34:26.552Z | [59/355] touch env/365cfec5e27ba62ea22ca8241d49403d6a569816/hdl/projects/ecp5_evn/.force-dir-creation |
750 | 2024-11-20T22:34:26.552Z | [60/355] awk '$1=$1' RS=';' env/e94a0ec4a9a5253136b6fb7e3ff5e3d8f83e05a6/hdl/projects/ecp5_evn/clocks.ys.rsp > env/e94a0ec4a9a5253136b6fb7e3ff5e3d8f83e05a6/hdl/projects/ecp5_evn/clocks.ys |
751 | 2024-11-20T22:34:26.552Z | [61/355] touch env/fdc18e943036952ab0fc8c8b3b88a6361412fa1c/hdl/projects/ecp5_evn/.force-dir-creation |
752 | 2024-11-20T22:34:26.552Z | [62/355] touch env/7f1ad267fdb144468f2f5b23546d3db1400dadd4/hdl/projects/ecp5_evn/.force-dir-creation |
753 | 2024-11-20T22:34:26.553Z | [63/355] awk '$1=$1' RS=';' env/8943ef5e701191d2de71ca86b5a5d132480de667/hdl/projects/ecp5_evn/loopback_uart.ys.rsp > env/8943ef5e701191d2de71ca86b5a5d132480de667/hdl/projects/ecp5_evn/loopback_uart.ys |
754 | 2024-11-20T22:34:26.553Z | [64/355] touch env/ee6327befd01541222d4c901ea2ee3b41e442e2d/hdl/projects/gimlet/ignition_target/.force-dir-creation |
755 | 2024-11-20T22:34:26.557Z | [65/355] touch env/d522f421e83cbc571dd7f6050c1cd41967817086/hdl/projects/gimlet/ignition_target/.force-dir-creation |
756 | 2024-11-20T22:34:26.559Z | [66/355] touch env/83875d1d99131e31c08148ae5a67a41f428a75a0/hdl/projects/gimlet/ignition_target/.force-dir-creation |
757 | 2024-11-20T22:34:26.562Z | [67/355] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/1b683657c28c182a3663ba012c766c2639805582/hdl/projects/gimlet/sequencer/AllEnable.bo.dyndep --object ./env/1b683657c28c182a3663ba012c766c2639805582/hdl/projects/gimlet/sequencer/AllEnable.bo --source ../hdl/projects/gimlet/sequencer/AllEnable.bsv GimletRegs=./env/2d630b2781257868d8eec811a9016ec93beb3848/hdl/projects/gimlet/sequencer/GimletRegs.bo A1Block=./env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer/A1Block.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo IrqBlock=./env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer/IrqBlock.bo SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo git_version=./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo A0Block=./env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer/A0Block.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo PowerRail=./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer/PowerRail.bo ICE40=./env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces/ICE40.bo AllEnable=./env/a4acde25bc26bd9114b0cc39d30cdfd0aba02241/hdl/projects/gimlet/sequencer/AllEnable.bo NicBlock=./env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer/NicBlock.bo GimletSeqFpgaRegs=./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bo |
758 | 2024-11-20T22:34:26.567Z | [68/361] awk '$1=$1' RS=';' env/ef11944836aca4836cc80d72fd62c86849712ddc/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_reset_button_top.ys.rsp > env/ef11944836aca4836cc80d72fd62c86849712ddc/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_reset_button_top.ys |
759 | 2024-11-20T22:34:26.570Z | [69/361] awk '$1=$1' RS=';' env/5deb3edf0a2418c2906c7aceefaf8d2302264a04/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_power_button_top.ys.rsp > env/5deb3edf0a2418c2906c7aceefaf8d2302264a04/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_power_button_top.ys |
760 | 2024-11-20T22:34:26.577Z | [70/361] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/7f1ad267fdb144468f2f5b23546d3db1400dadd4/hdl/projects/ecp5_evn/Examples.bo.dyndep --object ./env/7f1ad267fdb144468f2f5b23546d3db1400dadd4/hdl/projects/ecp5_evn/Examples.bo --source ../hdl/projects/ecp5_evn/Examples.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo LoopbackUART=./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples/LoopbackUART.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo UART=./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/UART.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo ECP5=./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/ECP5.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo Blinky=./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo Board=./env/92eb897f6dc6cce7ae8cc335a159d2ae1560166a/hdl/projects/ecp5_evn/Board.bo PLL=./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo |
761 | 2024-11-20T22:34:26.580Z | [71/371] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/ee6327befd01541222d4c901ea2ee3b41e442e2d/hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bo.dyndep --object ./env/ee6327befd01541222d4c901ea2ee3b41e442e2d/hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bo --source ../hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
762 | 2024-11-20T22:34:26.581Z | [72/377] awk '$1=$1' RS=';' env/3934f51976ad00747937f6cb1acbfc9133bc6888/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_top.ys.rsp > env/3934f51976ad00747937f6cb1acbfc9133bc6888/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_top.ys |
763 | 2024-11-20T22:34:26.585Z | [73/377] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/fdc18e943036952ab0fc8c8b3b88a6361412fa1c/hdl/projects/ecp5_evn/Examples.bo.dyndep --object ./env/fdc18e943036952ab0fc8c8b3b88a6361412fa1c/hdl/projects/ecp5_evn/Examples.bo --source ../hdl/projects/ecp5_evn/Examples.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo LoopbackUART=./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples/LoopbackUART.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo UART=./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/UART.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo ECP5=./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/ECP5.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo Blinky=./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo Board=./env/92eb897f6dc6cce7ae8cc335a159d2ae1560166a/hdl/projects/ecp5_evn/Board.bo PLL=./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo |
764 | 2024-11-20T22:34:26.589Z | [74/377] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/365cfec5e27ba62ea22ca8241d49403d6a569816/hdl/projects/ecp5_evn/Examples.bo.dyndep --object ./env/365cfec5e27ba62ea22ca8241d49403d6a569816/hdl/projects/ecp5_evn/Examples.bo --source ../hdl/projects/ecp5_evn/Examples.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo LoopbackUART=./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples/LoopbackUART.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo UART=./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/UART.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo ECP5=./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/ECP5.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo Blinky=./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo Board=./env/92eb897f6dc6cce7ae8cc335a159d2ae1560166a/hdl/projects/ecp5_evn/Board.bo PLL=./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo |
765 | 2024-11-20T22:34:26.589Z | [75/377] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/d522f421e83cbc571dd7f6050c1cd41967817086/hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bo.dyndep --object ./env/d522f421e83cbc571dd7f6050c1cd41967817086/hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bo --source ../hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
766 | 2024-11-20T22:34:26.590Z | [76/377] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/83875d1d99131e31c08148ae5a67a41f428a75a0/hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bo.dyndep --object ./env/83875d1d99131e31c08148ae5a67a41f428a75a0/hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bo --source ../hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
767 | 2024-11-20T22:34:26.604Z | [77/377] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces/ICE40.bo.dyndep --object ./env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces/ICE40.bo --source ../hdl/ip/bsv/interfaces/ICE40.bsv ICE40=./env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces/ICE40.bo |
768 | 2024-11-20T22:34:26.606Z | [78/377] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo.dyndep --object ./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo --source ../hdl/ip/bsv/interfaces/SPI.bsv SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo |
769 | 2024-11-20T22:34:26.609Z | [79/381] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples/LoopbackUART.bo.dyndep --object ./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples/LoopbackUART.bo --source ../hdl/ip/bsv/examples/LoopbackUART.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo LoopbackUART=./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples/LoopbackUART.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo UART=./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/UART.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo |
770 | 2024-11-20T22:34:26.609Z | [80/389] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo.dyndep --object ./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo --source ../hdl/ip/bsv/examples/Blinky.bsv Blinky=./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo |
771 | 2024-11-20T22:34:26.613Z | [81/389] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo.dyndep --object ./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo --source ../hdl/ip/bsv/ignition/IgnitionTargetWrapper.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
772 | 2024-11-20T22:34:26.628Z | [82/407] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo.dyndep --object ./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo --source ../hdl/ip/bsv/ignition/IgnitionTarget.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo |
773 | 2024-11-20T22:34:26.630Z | [83/409] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo.dyndep --object ./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo --source ../hdl/ip/bsv/ignition/IgnitionTargetTop.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
774 | 2024-11-20T22:34:26.635Z | [84/409] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/ECP5.bo.dyndep --object ./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/ECP5.bo --source ../hdl/ip/bsv/interfaces/ECP5.bsv ECP5=./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/ECP5.bo PLL=./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo |
775 | 2024-11-20T22:34:26.637Z | [85/411] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo.dyndep --object ./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo --source ../hdl/ip/bsv/IOSync.bsv Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo |
776 | 2024-11-20T22:34:26.640Z | [86/415] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo.dyndep --object ./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo --source ../hdl/ip/bsv/RegCommon.bsv RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo |
777 | 2024-11-20T22:34:26.646Z | [87/415] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo.dyndep --object ./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo --source ../hdl/ip/bsv/ignition/IgnitionProtocol.bsv Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo |
778 | 2024-11-20T22:34:26.649Z | [88/419] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/UART.bo.dyndep --object ./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/UART.bo --source ../hdl/ip/bsv/interfaces/UART.bsv Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo UART=./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/UART.bo |
779 | 2024-11-20T22:34:26.666Z | [89/419] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo.dyndep --object ./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo --source ../hdl/ip/bsv/interfaces/ICE40.bsv ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo |
780 | 2024-11-20T22:34:26.669Z | [90/419] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo.dyndep --object ./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo --source ../hdl/ip/bsv/Bidirection.bsv Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo |
781 | 2024-11-20T22:34:26.673Z | [91/419] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo.dyndep --object ./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo --source ../hdl/ip/bsv/ignition/IgnitionTransceiver.bsv IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo |
782 | 2024-11-20T22:34:26.679Z | [92/429] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo.dyndep --object ./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo --source ../hdl/ip/bsv/BitSampling.bsv BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo |
783 | 2024-11-20T22:34:26.682Z | [93/429] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo.dyndep --object ./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo --source ../hdl/ip/bsv/Encoding8b10b.bsv Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo |
784 | 2024-11-20T22:34:26.682Z | [94/429] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo.dyndep --object ./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo --source ../hdl/ip/bsv/Countdown.bsv Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo |
785 | 2024-11-20T22:34:26.698Z | [95/429] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo.dyndep --object ./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo --source ../hdl/ip/bsv/BitSampling.bsv Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo |
786 | 2024-11-20T22:34:26.716Z | [96/429] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo.dyndep --object ./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo --source ../hdl/ip/bsv/ignition/IgnitionProtocolParser.bsv Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo |
787 | 2024-11-20T22:34:26.725Z | [97/429] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo.dyndep --object ./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo --source ../hdl/ip/bsv/ignition/IgnitionReceiver.bsv IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo |
788 | 2024-11-20T22:34:26.736Z | [98/429] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo.dyndep --object ./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo --source ../hdl/ip/bsv/ignition/IgnitionTransmitter.bsv IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo |
789 | 2024-11-20T22:34:26.822Z | [99/431] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo.dyndep --object ./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo --source ../hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bsv Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo |
790 | 2024-11-20T22:34:26.903Z | [100/431] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo.dyndep --object ./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo --source ../hdl/ip/bsv/Deserializer8b10b.bsv Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo |
791 | 2024-11-20T22:34:26.933Z | [101/431] python3 ../tools/site_cobble/rdl_pkg/rdl_cli.py --input ../hdl/ip/bsv/ignition/ignition_controller.rdl --output env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bsv env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/ip/bsv/ignition/ignition_controller.html env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/ip/bsv/ignition/ignition_controller.adoc env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/ip/bsv/ignition/ignition_controller.json |
792 | 2024-11-20T22:34:26.950Z | [102/431] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples ../hdl/ip/bsv/examples/Blinky.bsv |
793 | 2024-11-20T22:34:26.953Z | [103/431] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/ip/bsv/ignition/ignition_controller.html latest/hdl/ip/bsv/ignition/ignition_controller.html |
794 | 2024-11-20T22:34:26.953Z | [104/431] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/ip/bsv/ignition/ignition_controller.adoc latest/hdl/ip/bsv/ignition/ignition_controller.adoc |
795 | 2024-11-20T22:34:26.953Z | [105/431] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/ip/bsv/ignition/ignition_controller.json latest/hdl/ip/bsv/ignition/ignition_controller.json |
796 | 2024-11-20T22:34:26.974Z | [106/431] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bsv latest/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bsv |
797 | 2024-11-20T22:34:26.990Z | [107/431] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo.dyndep --object ./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo --source ../hdl/ip/bsv/Serializer8b10b.bsv Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo |
798 | 2024-11-20T22:34:26.991Z | [108/431] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo.dyndep --object ./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo --source ../hdl/ip/bsv/IOSync.bsv IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo |
799 | 2024-11-20T22:34:27.012Z | [109/435] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo.dyndep --object ./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo --source ../hdl/ip/bsv/InitialReset.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo |
800 | 2024-11-20T22:34:27.026Z | [110/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv ../hdl/ip/bsv/Bidirection.bsv |
801 | 2024-11-20T22:34:27.028Z | [111/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo.dyndep --object ./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo --source ../hdl/ip/bsv/PLL.bsv PLL=./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo |
802 | 2024-11-20T22:34:27.036Z | [112/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo.dyndep --object ./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo --source ../hdl/ip/bsv/Bidirection.bsv Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo |
803 | 2024-11-20T22:34:27.059Z | [113/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo.dyndep --object ./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo --source ../hdl/ip/bsv/SchmittReg.bsv SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo |
804 | 2024-11-20T22:34:27.248Z | [114/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces ../hdl/ip/bsv/interfaces/ICE40.bsv |
805 | 2024-11-20T22:34:27.274Z | [115/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces ../hdl/ip/bsv/interfaces/ICE40.bsv |
806 | 2024-11-20T22:34:27.278Z | [116/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo.dyndep --object ./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo --source ../hdl/ip/bsv/SerialIO.bsv Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo |
807 | 2024-11-20T22:34:27.288Z | [117/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv -bdir ./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv ../hdl/ip/bsv/BitSampling.bsv |
808 | 2024-11-20T22:34:27.304Z | [118/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo.dyndep --object ./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo --source ../hdl/ip/bsv/SerialIO.bsv IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo |
809 | 2024-11-20T22:34:27.336Z | [119/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo.dyndep --object ./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo --source ../hdl/ip/bsv/SettableCRC.bsv SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo |
810 | 2024-11-20T22:34:27.367Z | [120/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo.dyndep --object ./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo --source ../hdl/ip/bsv/Strobe.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo |
811 | 2024-11-20T22:34:27.379Z | [121/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo.dyndep --object ./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo --source ../hdl/ip/bsv/Strobe.bsv Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo |
812 | 2024-11-20T22:34:27.474Z | [122/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv -bdir ./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv ../hdl/ip/bsv/BitSampling.bsv |
813 | 2024-11-20T22:34:27.488Z | [123/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv ../hdl/ip/bsv/Bidirection.bsv |
814 | 2024-11-20T22:34:27.525Z | [124/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo.dyndep --object ./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo --source ../hdl/ip/bsv/TestUtils.bsv TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo |
815 | 2024-11-20T22:34:27.535Z | [125/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo.dyndep --object ./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo --source ../hdl/ip/bsv/TestUtils.bsv TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo |
816 | 2024-11-20T22:34:27.570Z | [126/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv ../hdl/ip/bsv/Countdown.bsv |
817 | 2024-11-20T22:34:27.577Z | [127/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv ../hdl/ip/bsv/PLL.bsv |
818 | 2024-11-20T22:34:27.622Z | [128/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo.dyndep --object ./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo --source ../hdl/ip/bsv/WriteOnlyTriState.bsv WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo |
819 | 2024-11-20T22:34:27.695Z | [129/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv ../hdl/ip/bsv/RegCommon.bsv |
820 | 2024-11-20T22:34:27.746Z | [130/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo.dyndep --object ./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo --source ../hdl/ip/bsv/WriteOnlyTriState.bsv WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo |
821 | 2024-11-20T22:34:27.749Z | [131/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv ../hdl/ip/bsv/SettableCRC.bsv |
822 | 2024-11-20T22:34:27.779Z | [132/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/92eb897f6dc6cce7ae8cc335a159d2ae1560166a/hdl/projects/ecp5_evn/Board.bo.dyndep --object ./env/92eb897f6dc6cce7ae8cc335a159d2ae1560166a/hdl/projects/ecp5_evn/Board.bo --source ../hdl/projects/ecp5_evn/Board.bsv ECP5=./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/ECP5.bo PLL=./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo Board=./env/92eb897f6dc6cce7ae8cc335a159d2ae1560166a/hdl/projects/ecp5_evn/Board.bo |
823 | 2024-11-20T22:34:27.862Z | [133/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv ../hdl/ip/bsv/Encoding8b10b.bsv |
824 | 2024-11-20T22:34:27.951Z | [134/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv ../hdl/ip/bsv/WriteOnlyTriState.bsv |
825 | 2024-11-20T22:34:28.308Z | [135/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv ../hdl/ip/bsv/SchmittReg.bsv |
826 | 2024-11-20T22:34:28.496Z | [136/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv -bdir ./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces ../hdl/ip/bsv/interfaces/ECP5.bsv |
827 | 2024-11-20T22:34:28.496Z | [137/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv ../hdl/ip/bsv/WriteOnlyTriState.bsv |
828 | 2024-11-20T22:34:28.496Z | [138/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv ../hdl/ip/bsv/TestUtils.bsv |
829 | 2024-11-20T22:34:28.496Z | [139/437] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv ../hdl/ip/bsv/Strobe.bsv |
830 | 2024-11-20T22:34:28.496Z | [140/437] touch env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer/.force-dir-creation |
831 | 2024-11-20T22:34:28.496Z | [141/437] touch env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer/.force-dir-creation |
832 | 2024-11-20T22:34:28.496Z | [142/437] touch env/a4acde25bc26bd9114b0cc39d30cdfd0aba02241/hdl/projects/gimlet/sequencer/.force-dir-creation |
833 | 2024-11-20T22:34:28.496Z | [143/437] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/2d630b2781257868d8eec811a9016ec93beb3848/hdl/projects/gimlet/sequencer/GimletRegs.bo.dyndep --object ./env/2d630b2781257868d8eec811a9016ec93beb3848/hdl/projects/gimlet/sequencer/GimletRegs.bo --source ../hdl/projects/gimlet/sequencer/GimletRegs.bsv GimletRegs=./env/2d630b2781257868d8eec811a9016ec93beb3848/hdl/projects/gimlet/sequencer/GimletRegs.bo A1Block=./env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer/A1Block.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo IrqBlock=./env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer/IrqBlock.bo git_version=./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo A0Block=./env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer/A0Block.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo PowerRail=./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer/PowerRail.bo NicBlock=./env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer/NicBlock.bo GimletSeqFpgaRegs=./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bo |
834 | 2024-11-20T22:34:28.497Z | [144/450] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces:./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv -bdir ./env/92eb897f6dc6cce7ae8cc335a159d2ae1560166a/hdl/projects/ecp5_evn ../hdl/projects/ecp5_evn/Board.bsv |
835 | 2024-11-20T22:34:28.497Z | [145/450] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv ../hdl/ip/bsv/TestUtils.bsv |
836 | 2024-11-20T22:34:28.497Z | [146/450] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv ../hdl/ip/bsv/Strobe.bsv |
837 | 2024-11-20T22:34:28.497Z | [147/450] python3 ../tools/site_cobble/gen_git_version_bsv.py env/478fb481932630ecab969a4270681e0ca7c88e72/hdl/ip/bsv/git_version.bsv > env/478fb481932630ecab969a4270681e0ca7c88e72/hdl/ip/bsv/git_version.bsv |
838 | 2024-11-20T22:34:28.497Z | [148/450] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer/A0Block.bo.dyndep --object ./env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer/A0Block.bo --source ../hdl/projects/gimlet/sequencer/A0Block.bsv A0Block=./env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer/A0Block.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo PowerRail=./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer/PowerRail.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo GimletSeqFpgaRegs=./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bo |
839 | 2024-11-20T22:34:28.497Z | [149/452] touch env/2d630b2781257868d8eec811a9016ec93beb3848/hdl/projects/gimlet/sequencer/.force-dir-creation |
840 | 2024-11-20T22:34:28.497Z | [150/452] touch env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer/.force-dir-creation |
841 | 2024-11-20T22:34:28.498Z | [151/452] touch env/d598a781b72ab4244c5c2a57cfbfd691523df09f/hdl/projects/gimlet/sequencer/.force-dir-creation |
842 | 2024-11-20T22:34:28.498Z | [152/452] touch env/19862ebfe628d2f75189502c904fda3a02143ad4/hdl/projects/gimlet/sequencer/.force-dir-creation |
843 | 2024-11-20T22:34:28.498Z | [153/452] touch env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer/.force-dir-creation |
844 | 2024-11-20T22:34:28.498Z | [154/452] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo.dyndep --object ./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo --source env/478fb481932630ecab969a4270681e0ca7c88e72/hdl/ip/bsv/git_version.bsv git_version=./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo |
845 | 2024-11-20T22:34:28.498Z | [155/452] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer/IrqBlock.bo.dyndep --object ./env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer/IrqBlock.bo --source ../hdl/projects/gimlet/sequencer/IrqBlock.bsv IrqBlock=./env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer/IrqBlock.bo |
846 | 2024-11-20T22:34:28.498Z | [156/452] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer/A1Block.bo.dyndep --object ./env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer/A1Block.bo --source ../hdl/projects/gimlet/sequencer/A1Block.bsv TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo PowerRail=./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer/PowerRail.bo A1Block=./env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer/A1Block.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo GimletSeqFpgaRegs=./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bo |
847 | 2024-11-20T22:34:28.498Z | [157/452] touch env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer/.force-dir-creation |
848 | 2024-11-20T22:34:28.557Z | [158/452] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer/NicBlock.bo.dyndep --object ./env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer/NicBlock.bo --source ../hdl/projects/gimlet/sequencer/NicBlock.bsv TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo PowerRail=./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer/PowerRail.bo NicBlock=./env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer/NicBlock.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo GimletSeqFpgaRegs=./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bo |
849 | 2024-11-20T22:34:28.589Z | [159/452] touch env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer/.force-dir-creation |
850 | 2024-11-20T22:34:28.666Z | [160/452] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer/PowerRail.bo.dyndep --object ./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer/PowerRail.bo --source ../hdl/projects/gimlet/sequencer/PowerRail.bsv PowerRail=./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer/PowerRail.bo |
851 | 2024-11-20T22:34:28.756Z | [161/452] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer ../hdl/projects/gimlet/sequencer/IrqBlock.bsv |
852 | 2024-11-20T22:34:28.792Z | [162/452] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv env/478fb481932630ecab969a4270681e0ca7c88e72/hdl/ip/bsv/git_version.bsv |
853 | 2024-11-20T22:34:28.794Z | [163/452] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv ../hdl/ip/bsv/Deserializer8b10b.bsv |
854 | 2024-11-20T22:34:28.811Z | [164/452] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv ../hdl/ip/bsv/Serializer8b10b.bsv |
855 | 2024-11-20T22:34:28.815Z | [165/452] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/5f31029f59d4174de0404b8c593e1d2c4122a5f8/hdl/projects/gimlet/sequencer/GimletTopIOSync.bo.dyndep --object ./env/5f31029f59d4174de0404b8c593e1d2c4122a5f8/hdl/projects/gimlet/sequencer/GimletTopIOSync.bo --source ../hdl/projects/gimlet/sequencer/GimletTopIOSync.bsv GimletRegs=./env/2d630b2781257868d8eec811a9016ec93beb3848/hdl/projects/gimlet/sequencer/GimletRegs.bo A1Block=./env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer/A1Block.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo GimletTopIOSync=./env/19862ebfe628d2f75189502c904fda3a02143ad4/hdl/projects/gimlet/sequencer/GimletTopIOSync.bo IrqBlock=./env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer/IrqBlock.bo SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo git_version=./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo A0Block=./env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer/A0Block.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo PowerRail=./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer/PowerRail.bo ICE40=./env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces/ICE40.bo GimletSeqTop=./env/d598a781b72ab4244c5c2a57cfbfd691523df09f/hdl/projects/gimlet/sequencer/GimletSeqTop.bo NicBlock=./env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer/NicBlock.bo GimletSeqFpgaRegs=./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bo |
856 | 2024-11-20T22:34:28.819Z | [166/454] touch env/5f31029f59d4174de0404b8c593e1d2c4122a5f8/hdl/projects/gimlet/sequencer/.force-dir-creation |
857 | 2024-11-20T22:34:28.821Z | [167/454] awk '$1=$1' RS=';' env/325ec35fcb387f2151a2e99b2750681d78ebf0db/hdl/projects/icestick/blinky.ys.rsp > env/325ec35fcb387f2151a2e99b2750681d78ebf0db/hdl/projects/icestick/blinky.ys |
858 | 2024-11-20T22:34:28.827Z | [168/454] touch env/449a81eefed52e7d79dcdbee2c187aab3142a795/hdl/projects/icestick/.force-dir-creation |
859 | 2024-11-20T22:34:28.830Z | [169/454] touch env/81d7e933d4d2108980009dfc7bf4bbf17963858e/hdl/projects/icestick/.force-dir-creation |
860 | 2024-11-20T22:34:28.847Z | [170/454] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/d598a781b72ab4244c5c2a57cfbfd691523df09f/hdl/projects/gimlet/sequencer/GimletSeqTop.bo.dyndep --object ./env/d598a781b72ab4244c5c2a57cfbfd691523df09f/hdl/projects/gimlet/sequencer/GimletSeqTop.bo --source ../hdl/projects/gimlet/sequencer/GimletSeqTop.bsv GimletRegs=./env/2d630b2781257868d8eec811a9016ec93beb3848/hdl/projects/gimlet/sequencer/GimletRegs.bo A1Block=./env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer/A1Block.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo IrqBlock=./env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer/IrqBlock.bo SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo git_version=./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo A0Block=./env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer/A0Block.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo PowerRail=./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer/PowerRail.bo GimletSeqTop=./env/d598a781b72ab4244c5c2a57cfbfd691523df09f/hdl/projects/gimlet/sequencer/GimletSeqTop.bo NicBlock=./env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer/NicBlock.bo GimletSeqFpgaRegs=./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bo |
861 | 2024-11-20T22:34:28.851Z | [171/454] touch env/57b3cc47447a55fadcafc5c309b688d7cddea188/hdl/projects/icestick/.force-dir-creation |
862 | 2024-11-20T22:34:28.851Z | [172/454] awk '$1=$1' RS=';' env/b16dcb3c44386a4a0c416507dc4162d447078f70/hdl/projects/icestick/loopback_uart.ys.rsp > env/b16dcb3c44386a4a0c416507dc4162d447078f70/hdl/projects/icestick/loopback_uart.ys |
863 | 2024-11-20T22:34:28.854Z | [173/454] touch env/d75bf2f543bb1accdabccb0fff940f8ba5b196b6/hdl/projects/ignitionlet/.force-dir-creation |
864 | 2024-11-20T22:34:28.854Z | [174/454] touch env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/.force-dir-creation |
865 | 2024-11-20T22:34:28.857Z | [175/454] touch env/c269383d0916a6717f0baab7cc785d1c23a62f5e/hdl/projects/ignitionlet/.force-dir-creation |
866 | 2024-11-20T22:34:28.860Z | [176/454] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/81d7e933d4d2108980009dfc7bf4bbf17963858e/hdl/projects/icestick/Examples.bo.dyndep --object ./env/81d7e933d4d2108980009dfc7bf4bbf17963858e/hdl/projects/icestick/Examples.bo --source ../hdl/projects/icestick/Examples.bsv Board=./env/449a81eefed52e7d79dcdbee2c187aab3142a795/hdl/projects/icestick/Board.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo LoopbackUART=./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples/LoopbackUART.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo UART=./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/UART.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo Blinky=./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo |
867 | 2024-11-20T22:34:28.860Z | [177/456] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/57b3cc47447a55fadcafc5c309b688d7cddea188/hdl/projects/icestick/Examples.bo.dyndep --object ./env/57b3cc47447a55fadcafc5c309b688d7cddea188/hdl/projects/icestick/Examples.bo --source ../hdl/projects/icestick/Examples.bsv Board=./env/449a81eefed52e7d79dcdbee2c187aab3142a795/hdl/projects/icestick/Board.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo LoopbackUART=./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples/LoopbackUART.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo UART=./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/UART.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo Blinky=./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo |
868 | 2024-11-20T22:34:28.885Z | [178/456] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/c269383d0916a6717f0baab7cc785d1c23a62f5e/hdl/projects/ignitionlet/IgnitionletTargetTop.bo.dyndep --object ./env/c269383d0916a6717f0baab7cc785d1c23a62f5e/hdl/projects/ignitionlet/IgnitionletTargetTop.bo --source ../hdl/projects/ignitionlet/IgnitionletTargetTop.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
869 | 2024-11-20T22:34:28.889Z | [179/456] touch env/7f3e791608df57429dafd341baf12042ff2e65b5/hdl/projects/ignitionlet/.force-dir-creation |
870 | 2024-11-20T22:34:28.896Z | [180/456] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv -bdir ./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv ../hdl/ip/bsv/IOSync.bsv |
871 | 2024-11-20T22:34:28.903Z | [181/456] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv -bdir ./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv ../hdl/ip/bsv/IOSync.bsv |
872 | 2024-11-20T22:34:28.906Z | [182/456] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/449a81eefed52e7d79dcdbee2c187aab3142a795/hdl/projects/icestick/Board.bo.dyndep --object ./env/449a81eefed52e7d79dcdbee2c187aab3142a795/hdl/projects/icestick/Board.bo --source ../hdl/projects/icestick/Board.bsv Board=./env/449a81eefed52e7d79dcdbee2c187aab3142a795/hdl/projects/icestick/Board.bo |
873 | 2024-11-20T22:34:28.907Z | [183/456] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/7f3e791608df57429dafd341baf12042ff2e65b5/hdl/projects/ignitionlet/IgnitionletTargetTop.bo.dyndep --object ./env/7f3e791608df57429dafd341baf12042ff2e65b5/hdl/projects/ignitionlet/IgnitionletTargetTop.bo --source ../hdl/projects/ignitionlet/IgnitionletTargetTop.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
874 | 2024-11-20T22:34:28.907Z | [184/456] touch env/311e21c9542d6ebe4576af19f0cca4f6784fd8b3/hdl/projects/ignitionlet/.force-dir-creation |
875 | 2024-11-20T22:34:28.909Z | [185/456] touch env/8fcfc6faa944b340c30b6ddfa660c4d4763db33d/hdl/projects/ignitionlet/.force-dir-creation |
876 | 2024-11-20T22:34:28.909Z | [186/456] touch env/010d61b3de52ce1e2605ed1cf897c15315e07cb2/hdl/projects/sidecar/ignition_target/.force-dir-creation |
877 | 2024-11-20T22:34:28.916Z | [187/456] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/311e21c9542d6ebe4576af19f0cca4f6784fd8b3/hdl/projects/ignitionlet/TransceiverDebugTop.bo.dyndep --object ./env/311e21c9542d6ebe4576af19f0cca4f6784fd8b3/hdl/projects/ignitionlet/TransceiverDebugTop.bo --source ../hdl/projects/ignitionlet/TransceiverDebugTop.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo |
878 | 2024-11-20T22:34:28.936Z | [188/458] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/8fcfc6faa944b340c30b6ddfa660c4d4763db33d/hdl/projects/ignitionlet/Examples.bo.dyndep --object ./env/8fcfc6faa944b340c30b6ddfa660c4d4763db33d/hdl/projects/ignitionlet/Examples.bo --source ../hdl/projects/ignitionlet/Examples.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Blinky=./env/9858bc490ba7f5424b6a70741c9b2ddc377ee4fc/hdl/ip/bsv/examples/Blinky.bo |
879 | 2024-11-20T22:34:28.968Z | [189/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/9858bc490ba7f5424b6a70741c9b2ddc377ee4fc/hdl/ip/bsv/examples/Blinky.bo.dyndep --object ./env/9858bc490ba7f5424b6a70741c9b2ddc377ee4fc/hdl/ip/bsv/examples/Blinky.bo --source ../hdl/ip/bsv/examples/Blinky.bsv Blinky=./env/9858bc490ba7f5424b6a70741c9b2ddc377ee4fc/hdl/ip/bsv/examples/Blinky.bo |
880 | 2024-11-20T22:34:28.986Z | [190/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo.dyndep --object ./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo --source ../hdl/projects/ignitionlet/Board.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo |
881 | 2024-11-20T22:34:29.008Z | [191/460] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv -bdir ./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionProtocol.bsv |
882 | 2024-11-20T22:34:29.029Z | [192/460] awk '$1=$1' RS=';' env/f32aeae537d1d9a8de761b00a11dafd8a3c127b4/hdl/projects/ignitionlet/ignitionlet_target_power_button_top.ys.rsp > env/f32aeae537d1d9a8de761b00a11dafd8a3c127b4/hdl/projects/ignitionlet/ignitionlet_target_power_button_top.ys |
883 | 2024-11-20T22:34:29.147Z | [193/460] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv -bdir ./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv ../hdl/ip/bsv/InitialReset.bsv |
884 | 2024-11-20T22:34:29.280Z | [194/460] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/449a81eefed52e7d79dcdbee2c187aab3142a795/hdl/projects/icestick ../hdl/projects/icestick/Board.bsv |
885 | 2024-11-20T22:34:29.292Z | [195/460] python3 ../tools/site_cobble/rdl_pkg/rdl_cli.py --input ../hdl/projects/gimlet/sequencer/gimlet_seq_fpga_regs.rdl --output env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bsv env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/gimlet/sequencer/gimlet_regs.html env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/gimlet/sequencer/gimlet_regs.json |
886 | 2024-11-20T22:34:29.368Z | [196/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bo.dyndep --object ./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bo --source env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bsv RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo GimletSeqFpgaRegs=./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bo |
887 | 2024-11-20T22:34:29.382Z | [197/460] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +: -bdir ./env/9858bc490ba7f5424b6a70741c9b2ddc377ee4fc/hdl/ip/bsv/examples ../hdl/ip/bsv/examples/Blinky.bsv |
888 | 2024-11-20T22:34:29.385Z | [198/460] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bsv latest/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bsv |
889 | 2024-11-20T22:34:29.385Z | [199/460] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/gimlet/sequencer/gimlet_regs.html latest/hdl/projects/gimlet/sequencer/gimlet_regs.html |
890 | 2024-11-20T22:34:29.388Z | [200/460] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/gimlet/sequencer/gimlet_regs.json latest/hdl/projects/gimlet/sequencer/gimlet_regs.json |
891 | 2024-11-20T22:34:29.388Z | [201/460] awk '$1=$1' RS=';' env/58f82980582ad3294ba2dc1dc8180aaab3bfb236/hdl/projects/ignitionlet/ignitionlet_target_reset_button_top.ys.rsp > env/58f82980582ad3294ba2dc1dc8180aaab3bfb236/hdl/projects/ignitionlet/ignitionlet_target_reset_button_top.ys |
892 | 2024-11-20T22:34:29.391Z | [202/460] awk '$1=$1' RS=';' env/5db0d697445940b44c3d8bef3966456ee6047731/hdl/projects/ignitionlet/sequencer_blinky.ys.rsp > env/5db0d697445940b44c3d8bef3966456ee6047731/hdl/projects/ignitionlet/sequencer_blinky.ys |
893 | 2024-11-20T22:34:29.394Z | [203/460] touch env/8d6941ba3046c5b52c1b923714329b16a96142c3/hdl/projects/psc/.force-dir-creation |
894 | 2024-11-20T22:34:29.394Z | [204/460] awk '$1=$1' RS=';' env/a807045ac67ba6c870b9818051bf888138ec4005/hdl/projects/ignitionlet/transceiver_debug_top.ys.rsp > env/a807045ac67ba6c870b9818051bf888138ec4005/hdl/projects/ignitionlet/transceiver_debug_top.ys |
895 | 2024-11-20T22:34:29.397Z | [205/460] touch env/38c35e7617da68a86222c1b859da1dde79de63c6/hdl/projects/psc/.force-dir-creation |
896 | 2024-11-20T22:34:29.426Z | [206/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/8d6941ba3046c5b52c1b923714329b16a96142c3/hdl/projects/psc/IgnitionTargetPSC.bo.dyndep --object ./env/8d6941ba3046c5b52c1b923714329b16a96142c3/hdl/projects/psc/IgnitionTargetPSC.bo --source ../hdl/projects/psc/IgnitionTargetPSC.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
897 | 2024-11-20T22:34:29.429Z | [207/460] touch env/7726524d4d9bd8da16858a039e82266b6f6de21c/hdl/projects/psc/.force-dir-creation |
898 | 2024-11-20T22:34:29.453Z | [208/460] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer ../hdl/projects/gimlet/sequencer/PowerRail.bsv |
899 | 2024-11-20T22:34:29.468Z | [209/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/7726524d4d9bd8da16858a039e82266b6f6de21c/hdl/projects/psc/IgnitionTargetPSC.bo.dyndep --object ./env/7726524d4d9bd8da16858a039e82266b6f6de21c/hdl/projects/psc/IgnitionTargetPSC.bo --source ../hdl/projects/psc/IgnitionTargetPSC.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
900 | 2024-11-20T22:34:29.502Z | [210/460] awk '$1=$1' RS=';' env/7714ecc00fa239944fa465b952e0edcb04a01891/hdl/projects/psc/ignition_target_psc_rev_a_reset_button_top.ys.rsp > env/7714ecc00fa239944fa465b952e0edcb04a01891/hdl/projects/psc/ignition_target_psc_rev_a_reset_button_top.ys |
901 | 2024-11-20T22:34:29.534Z | [211/460] awk '$1=$1' RS=';' env/e55812cd91d7fbff532e4e6828d2a374a1c9c89d/hdl/projects/psc/ignition_target_psc_rev_b_reset_button_top.ys.rsp > env/e55812cd91d7fbff532e4e6828d2a374a1c9c89d/hdl/projects/psc/ignition_target_psc_rev_b_reset_button_top.ys |
902 | 2024-11-20T22:34:29.565Z | [212/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/38c35e7617da68a86222c1b859da1dde79de63c6/hdl/projects/psc/IgnitionTargetPSC.bo.dyndep --object ./env/38c35e7617da68a86222c1b859da1dde79de63c6/hdl/projects/psc/IgnitionTargetPSC.bo --source ../hdl/projects/psc/IgnitionTargetPSC.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
903 | 2024-11-20T22:34:29.588Z | [213/460] awk '$1=$1' RS=';' env/b9568584a911e11c2fac4c0eaa92351db56ef802/hdl/projects/psc/ignition_target_psc_rev_b_top.ys.rsp > env/b9568584a911e11c2fac4c0eaa92351db56ef802/hdl/projects/psc/ignition_target_psc_rev_b_top.ys |
904 | 2024-11-20T22:34:29.600Z | [214/460] touch env/e624bbc6af0bff8f1e6ea224327bc36e8d57838e/hdl/projects/sidecar/ignition_target/.force-dir-creation |
905 | 2024-11-20T22:34:29.609Z | [215/460] touch env/b464ff989b81c4ae7ab8fe7988030b4d290d095c/hdl/projects/sidecar/ignition_target/.force-dir-creation |
906 | 2024-11-20T22:34:29.628Z | [216/460] touch env/3b2396260746c17cd004a2cbf46dc6b08d6fd561/hdl/projects/sidecar/ignition_target/.force-dir-creation |
907 | 2024-11-20T22:34:29.689Z | [217/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/e624bbc6af0bff8f1e6ea224327bc36e8d57838e/hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bo.dyndep --object ./env/e624bbc6af0bff8f1e6ea224327bc36e8d57838e/hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bo --source ../hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
908 | 2024-11-20T22:34:29.704Z | [218/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/b464ff989b81c4ae7ab8fe7988030b4d290d095c/hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bo.dyndep --object ./env/b464ff989b81c4ae7ab8fe7988030b4d290d095c/hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bo --source ../hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
909 | 2024-11-20T22:34:29.734Z | [219/460] touch env/86001d008946c1cec2c12a865de83b38566e762b/hdl/projects/sidecar/ignition_target/.force-dir-creation |
910 | 2024-11-20T22:34:29.766Z | [220/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/3b2396260746c17cd004a2cbf46dc6b08d6fd561/hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bo.dyndep --object ./env/3b2396260746c17cd004a2cbf46dc6b08d6fd561/hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bo --source ../hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
911 | 2024-11-20T22:34:29.808Z | [221/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/86001d008946c1cec2c12a865de83b38566e762b/hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bo.dyndep --object ./env/86001d008946c1cec2c12a865de83b38566e762b/hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bo --source ../hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
912 | 2024-11-20T22:34:29.851Z | [222/460] awk '$1=$1' RS=';' env/7910d72698221853db2354e78234c7526e690676/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_power_button_top.ys.rsp > env/7910d72698221853db2354e78234c7526e690676/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_power_button_top.ys |
913 | 2024-11-20T22:34:29.851Z | [223/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/010d61b3de52ce1e2605ed1cf897c15315e07cb2/hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bo.dyndep --object ./env/010d61b3de52ce1e2605ed1cf897c15315e07cb2/hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bo --source ../hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bsv InitialReset=./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv/InitialReset.bo Encoding8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Encoding8b10b.bo SerialIO=./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv/SerialIO.bo IgnitionTransmitter=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransmitter.bo WriteOnlyTriState=./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionTargetTop=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetTop.bo Deserializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Deserializer8b10b.bo TestUtils=./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv/TestUtils.bo Serializer8b10b=./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv/Serializer8b10b.bo IgnitionProtocol=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocol.bo BitSampling=./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv/BitSampling.bo Countdown=./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv/Countdown.bo SchmittReg=./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv/SchmittReg.bo IOSync=./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv/IOSync.bo Bidirection=./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv/Bidirection.bo ICE40=./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces/ICE40.bo IgnitionTarget=./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition/IgnitionTarget.bo IgnitionReceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv/Strobe.bo IgnitionProtocolDeparser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionTransceiver=./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionProtocolParser=./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo Board=./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet/Board.bo SettableCRC=./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv/SettableCRC.bo IgnitionTargetWrapper=./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition/IgnitionTargetWrapper.bo |
914 | 2024-11-20T22:34:29.865Z | [224/460] awk '$1=$1' RS=';' env/1acd0246594d5705556eb9f13eb1443ec9cf93a6/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_reset_button_top.ys.rsp > env/1acd0246594d5705556eb9f13eb1443ec9cf93a6/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_reset_button_top.ys |
915 | 2024-11-20T22:34:29.865Z | [225/460] awk '$1=$1' RS=';' env/825b61b60a58a157767ca67ad5de2f7e559fbedf/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_power_button_top.ys.rsp > env/825b61b60a58a157767ca67ad5de2f7e559fbedf/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_power_button_top.ys |
916 | 2024-11-20T22:34:29.872Z | [226/460] awk '$1=$1' RS=';' env/a1f87d6bce182c5cd4622b46cf25117fb7dde61e/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_reset_button_top.ys.rsp > env/a1f87d6bce182c5cd4622b46cf25117fb7dde61e/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_reset_button_top.ys |
917 | 2024-11-20T22:34:29.877Z | [227/460] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv -bdir ./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv ../hdl/ip/bsv/SerialIO.bsv |
918 | 2024-11-20T22:34:29.878Z | [228/460] awk '$1=$1' RS=';' env/4edae4b0b3bb2e41d9907849a3300d42055c62ff/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_top.ys.rsp > env/4edae4b0b3bb2e41d9907849a3300d42055c62ff/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_top.ys |
919 | 2024-11-20T22:34:29.878Z | [229/460] touch env/6cd12454e733fdf05b47cb62cf1366e6f75f072e/hdl/projects/sidecar/mainboard/emulator/.force-dir-creation |
920 | 2024-11-20T22:34:29.882Z | [230/460] touch env/193e9e3eb730ffbc6f4265f14ae2cd9542f68367/hdl/projects/sidecar/mainboard/emulator/.force-dir-creation |
921 | 2024-11-20T22:34:29.887Z | [231/460] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv -bdir ./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bsv |
922 | 2024-11-20T22:34:29.887Z | [232/460] awk '$1=$1' RS=';' env/cd545b8b35111a34cafa5ef837a77f08d5223b28/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_epc5_evn_top.ys.rsp > env/cd545b8b35111a34cafa5ef837a77f08d5223b28/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_epc5_evn_top.ys |
923 | 2024-11-20T22:34:29.891Z | [233/460] touch env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard/.force-dir-creation |
924 | 2024-11-20T22:34:29.896Z | [234/460] touch env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/.force-dir-creation |
925 | 2024-11-20T22:34:29.900Z | [235/460] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/6cd12454e733fdf05b47cb62cf1366e6f75f072e/hdl/projects/sidecar/mainboard/emulator/SidecarMainboardEmulator.bo.dyndep --object ./env/6cd12454e733fdf05b47cb62cf1366e6f75f072e/hdl/projects/sidecar/mainboard/emulator/SidecarMainboardEmulator.bo --source ../hdl/projects/sidecar/mainboard/emulator/SidecarMainboardEmulator.bsv TofinoDebugPort=./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard/TofinoDebugPort.bo InitialReset=./env/0cca0c47c3fd66b240ed47ee1b0c79cf1134aedb/hdl/ip/bsv/InitialReset.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo SidecarMainboardMiscSequencers=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo Board=./env/d75bf2f543bb1accdabccb0fff940f8ba5b196b6/hdl/projects/ignitionlet/Board.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo git_version=./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo SidecarMainboardControllerSpiServer=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardControllerSpiServer.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo SidecarMainboardController=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardController.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo PLL=./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo Tofino2Sequencer=./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard/Tofino2Sequencer.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo WriteOnceReg=./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv/WriteOnceReg.bo ECP5=./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/ECP5.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo IgnitionControllerRegisters=./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bo IgnitionController=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionController.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo IgnitionTarget=./env/9b799a57d4ea69c47e5bd8f094d7110167e8194e/hdl/ip/bsv/ignition/IgnitionTarget.bo SidecarMainboardControllerTop=./env/676471a8cf401bee6e98bd8615ae74ba49dbb469/hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bo SchmittReg=./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo PCIeEndpointController=./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard/PCIeEndpointController.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo ICE40=./env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces/ICE40.bo IgnitionEventCounter=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionEventCounter.bo SidecarMainboardControllerReg=./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo SidecarMainboardEmulator=./env/193e9e3eb730ffbc6f4265f14ae2cd9542f68367/hdl/projects/sidecar/mainboard/emulator/SidecarMainboardEmulator.bo |
926 | 2024-11-20T22:34:29.904Z | [236/478] touch env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/.force-dir-creation |
927 | 2024-11-20T22:34:29.905Z | [237/478] touch env/676471a8cf401bee6e98bd8615ae74ba49dbb469/hdl/projects/sidecar/mainboard/.force-dir-creation |
928 | 2024-11-20T22:34:29.933Z | [238/478] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo.dyndep --object ./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo --source ../hdl/ip/bsv/I2C/I2CCommon.bsv Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo |
929 | 2024-11-20T22:34:29.936Z | [239/478] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -bdir ./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionTargetTop.bsv |
930 | 2024-11-20T22:34:29.945Z | [240/478] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo.dyndep --object ./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo --source ../hdl/ip/bsv/ignition/IgnitionProtocol.bsv Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo |
931 | 2024-11-20T22:34:29.954Z | [241/482] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionController.bo.dyndep --object ./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionController.bo --source ../hdl/ip/bsv/ignition/IgnitionController.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo SchmittReg=./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo IgnitionEventCounter=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionEventCounter.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo IgnitionControllerRegisters=./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bo IgnitionController=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionController.bo |
932 | 2024-11-20T22:34:29.980Z | [242/490] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/9b799a57d4ea69c47e5bd8f094d7110167e8194e/hdl/ip/bsv/ignition/IgnitionTarget.bo.dyndep --object ./env/9b799a57d4ea69c47e5bd8f094d7110167e8194e/hdl/ip/bsv/ignition/IgnitionTarget.bo --source ../hdl/ip/bsv/ignition/IgnitionTarget.bsv InitialReset=./env/0cca0c47c3fd66b240ed47ee1b0c79cf1134aedb/hdl/ip/bsv/InitialReset.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo Board=./env/d75bf2f543bb1accdabccb0fff940f8ba5b196b6/hdl/projects/ignitionlet/Board.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo IgnitionTarget=./env/9b799a57d4ea69c47e5bd8f094d7110167e8194e/hdl/ip/bsv/ignition/IgnitionTarget.bo SchmittReg=./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo ICE40=./env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces/ICE40.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo |
933 | 2024-11-20T22:34:29.988Z | [243/490] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv -bdir ./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv ../hdl/ip/bsv/SerialIO.bsv |
934 | 2024-11-20T22:34:29.998Z | [244/490] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv -bdir ./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces ../hdl/ip/bsv/interfaces/SPI.bsv |
935 | 2024-11-20T22:34:30.003Z | [245/490] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo.dyndep --object ./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo --source ../hdl/ip/bsv/ignition/IgnitionTransceiver.bsv Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo |
936 | 2024-11-20T22:34:30.008Z | [246/500] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionEventCounter.bo.dyndep --object ./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionEventCounter.bo --source ../hdl/ip/bsv/ignition/IgnitionEventCounter.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo SchmittReg=./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo IgnitionEventCounter=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionEventCounter.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo IgnitionControllerRegisters=./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bo IgnitionController=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionController.bo |
937 | 2024-11-20T22:34:30.023Z | [247/500] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bo.dyndep --object ./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bo --source env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bsv RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo IgnitionControllerRegisters=./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bo |
938 | 2024-11-20T22:34:30.040Z | [248/500] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo.dyndep --object ./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo --source ../hdl/ip/bsv/Countdown.bsv Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo |
939 | 2024-11-20T22:34:30.055Z | [249/500] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo.dyndep --object ./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo --source ../hdl/ip/bsv/ignition/IgnitionReceiver.bsv Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo |
940 | 2024-11-20T22:34:30.067Z | [250/500] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo.dyndep --object ./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo --source ../hdl/ip/bsv/ignition/IgnitionProtocolParser.bsv Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo |
941 | 2024-11-20T22:34:30.087Z | [251/500] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo.dyndep --object ./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo --source ../hdl/ip/bsv/ignition/IgnitionTransmitter.bsv Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo |
942 | 2024-11-20T22:34:30.120Z | [252/502] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo.dyndep --object ./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo --source ../hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bsv Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo |
943 | 2024-11-20T22:34:30.148Z | [253/502] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo.dyndep --object ./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo --source ../hdl/ip/bsv/Encoding8b10b.bsv Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo |
944 | 2024-11-20T22:34:30.153Z | [254/502] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo.dyndep --object ./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo --source ../hdl/ip/bsv/Deserializer8b10b.bsv Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo |
945 | 2024-11-20T22:34:30.155Z | [255/502] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -bdir ./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet ../hdl/projects/ignitionlet/Board.bsv |
946 | 2024-11-20T22:34:30.208Z | [256/502] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo.dyndep --object ./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo --source ../hdl/ip/bsv/Serializer8b10b.bsv Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo |
947 | 2024-11-20T22:34:30.213Z | [257/502] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo.dyndep --object ./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo --source ../hdl/ip/bsv/power_rail/PowerRail.bsv PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo |
948 | 2024-11-20T22:34:30.236Z | [258/502] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo.dyndep --object ./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo --source ../hdl/ip/bsv/SchmittReg.bsv SchmittReg=./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo |
949 | 2024-11-20T22:34:30.299Z | [259/502] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo.dyndep --object ./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo --source ../hdl/ip/bsv/SettableCRC.bsv SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo |
950 | 2024-11-20T22:34:30.355Z | [260/502] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv -bdir ./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C ../hdl/ip/bsv/I2C/I2CCommon.bsv |
951 | 2024-11-20T22:34:30.461Z | [261/502] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv -bdir ./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionProtocolParser.bsv |
952 | 2024-11-20T22:34:30.707Z | [262/502] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition -bdir ./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionTransmitter.bsv |
953 | 2024-11-20T22:34:30.766Z | [263/502] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardControllerSpiServer.bo.dyndep --object ./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardControllerSpiServer.bo --source ../hdl/projects/sidecar/mainboard/SidecarMainboardControllerSpiServer.bsv TofinoDebugPort=./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard/TofinoDebugPort.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo SidecarMainboardMiscSequencers=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo git_version=./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo SidecarMainboardControllerSpiServer=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardControllerSpiServer.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo SidecarMainboardController=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardController.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo Tofino2Sequencer=./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard/Tofino2Sequencer.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo WriteOnceReg=./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv/WriteOnceReg.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo IgnitionControllerRegisters=./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bo IgnitionController=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionController.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SchmittReg=./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo PCIeEndpointController=./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard/PCIeEndpointController.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo IgnitionEventCounter=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionEventCounter.bo SidecarMainboardControllerReg=./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo |
954 | 2024-11-20T22:34:30.825Z | [264/512] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv ../hdl/ip/bsv/SettableCRC.bsv |
955 | 2024-11-20T22:34:30.846Z | [265/512] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv/WriteOnceReg.bo.dyndep --object ./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv/WriteOnceReg.bo --source ../hdl/ip/bsv/WriteOnceReg.bsv WriteOnceReg=./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv/WriteOnceReg.bo |
956 | 2024-11-20T22:34:30.882Z | [266/512] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard/PCIeEndpointController.bo.dyndep --object ./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard/PCIeEndpointController.bo --source ../hdl/projects/sidecar/mainboard/PCIeEndpointController.bsv PCIeEndpointController=./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard/PCIeEndpointController.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo Tofino2Sequencer=./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard/Tofino2Sequencer.bo SidecarMainboardControllerReg=./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo |
957 | 2024-11-20T22:34:30.956Z | [267/516] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo.dyndep --object ./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo --source ../hdl/ip/bsv/CommonFunctions.bsv CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo |
958 | 2024-11-20T22:34:31.007Z | [268/516] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv -bdir ./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bsv |
959 | 2024-11-20T22:34:31.078Z | [269/516] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv ../hdl/ip/bsv/Countdown.bsv |
960 | 2024-11-20T22:34:31.106Z | [270/516] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo.dyndep --object ./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo --source ../hdl/ip/bsv/Debouncer.bsv Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo |
961 | 2024-11-20T22:34:31.154Z | [271/516] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv ../hdl/ip/bsv/SchmittReg.bsv |
962 | 2024-11-20T22:34:31.176Z | [272/516] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv -bdir ./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces ../hdl/ip/bsv/interfaces/UART.bsv |
963 | 2024-11-20T22:34:31.234Z | [273/516] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardController.bo.dyndep --object ./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardController.bo --source ../hdl/projects/sidecar/mainboard/SidecarMainboardController.bsv TofinoDebugPort=./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard/TofinoDebugPort.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo SidecarMainboardMiscSequencers=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo git_version=./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo SidecarMainboardControllerSpiServer=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardControllerSpiServer.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo SidecarMainboardController=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardController.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo Tofino2Sequencer=./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard/Tofino2Sequencer.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo WriteOnceReg=./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv/WriteOnceReg.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo IgnitionControllerRegisters=./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bo IgnitionController=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionController.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SchmittReg=./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo PCIeEndpointController=./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard/PCIeEndpointController.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo IgnitionEventCounter=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionEventCounter.bo SidecarMainboardControllerReg=./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo |
964 | 2024-11-20T22:34:31.313Z | [274/516] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bo.dyndep --object ./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bo --source ../hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bsv TofinoDebugPort=./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard/TofinoDebugPort.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo SidecarMainboardMiscSequencers=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo git_version=./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo SidecarMainboardControllerSpiServer=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardControllerSpiServer.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo SidecarMainboardController=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardController.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo Tofino2Sequencer=./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard/Tofino2Sequencer.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo WriteOnceReg=./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv/WriteOnceReg.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo IgnitionControllerRegisters=./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bo IgnitionController=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionController.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SchmittReg=./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo PCIeEndpointController=./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard/PCIeEndpointController.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo IgnitionEventCounter=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionEventCounter.bo SidecarMainboardControllerReg=./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo |
965 | 2024-11-20T22:34:31.336Z | [275/516] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv ../hdl/ip/bsv/WriteOnceReg.bsv |
966 | 2024-11-20T22:34:31.339Z | [276/516] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv ../hdl/ip/bsv/CommonFunctions.bsv |
967 | 2024-11-20T22:34:31.365Z | [277/516] touch env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard/.force-dir-creation |
968 | 2024-11-20T22:34:31.370Z | [278/516] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard/TofinoDebugPort.bo.dyndep --object ./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard/TofinoDebugPort.bo --source ../hdl/projects/sidecar/mainboard/TofinoDebugPort.bsv TofinoDebugPort=./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard/TofinoDebugPort.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo SidecarMainboardControllerReg=./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo |
969 | 2024-11-20T22:34:31.372Z | [279/518] touch env/68687a94401cf588fc00f65ef8336b4cd149791a/hdl/projects/sidecar/mainboard/.force-dir-creation |
970 | 2024-11-20T22:34:31.373Z | [280/518] touch env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard/.force-dir-creation |
971 | 2024-11-20T22:34:31.375Z | [281/518] touch env/46b265dee1eb4a823cc4a1a589f3c07cccc65612/hdl/projects/sidecar/mainboard/.force-dir-creation |
972 | 2024-11-20T22:34:31.379Z | [282/518] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard/Tofino2Sequencer.bo.dyndep --object ./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard/Tofino2Sequencer.bo --source ../hdl/projects/sidecar/mainboard/Tofino2Sequencer.bsv SidecarMainboardControllerReg=./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo Tofino2Sequencer=./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard/Tofino2Sequencer.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo |
973 | 2024-11-20T22:34:31.392Z | [283/518] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv ../hdl/ip/bsv/Encoding8b10b.bsv |
974 | 2024-11-20T22:34:31.404Z | [284/518] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/68687a94401cf588fc00f65ef8336b4cd149791a/hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bo.dyndep --object ./env/68687a94401cf588fc00f65ef8336b4cd149791a/hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bo --source ../hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bsv TofinoDebugPort=./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard/TofinoDebugPort.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo SidecarMainboardMiscSequencers=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo git_version=./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo SidecarMainboardControllerSpiServer=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardControllerSpiServer.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo SidecarMainboardController=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardController.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo Tofino2Sequencer=./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard/Tofino2Sequencer.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo WriteOnceReg=./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv/WriteOnceReg.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo IgnitionControllerRegisters=./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bo IgnitionController=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionController.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SidecarMainboardControllerTop=./env/676471a8cf401bee6e98bd8615ae74ba49dbb469/hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bo SchmittReg=./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo PCIeEndpointController=./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard/PCIeEndpointController.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo IgnitionEventCounter=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionEventCounter.bo SidecarMainboardControllerReg=./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo |
975 | 2024-11-20T22:34:31.412Z | [285/518] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo.dyndep --object ./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo --source ../hdl/ip/bsv/I2C/I2CCore.bsv Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo |
976 | 2024-11-20T22:34:31.462Z | [286/520] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/46b265dee1eb4a823cc4a1a589f3c07cccc65612/hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bo.dyndep --object ./env/46b265dee1eb4a823cc4a1a589f3c07cccc65612/hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bo --source ../hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bsv TofinoDebugPort=./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard/TofinoDebugPort.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo SettableCRC=./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv/SettableCRC.bo SidecarMainboardMiscSequencers=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo IgnitionProtocol=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocol.bo git_version=./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv/git_version.bo SidecarMainboardControllerSpiServer=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardControllerSpiServer.bo IgnitionProtocolDeparser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo SidecarMainboardController=./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard/SidecarMainboardController.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo Serializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Serializer8b10b.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo Encoding8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Encoding8b10b.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo Tofino2Sequencer=./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard/Tofino2Sequencer.bo IgnitionTransceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransceiver.bo WriteOnceReg=./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv/WriteOnceReg.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo IgnitionTransmitter=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionTransmitter.bo IgnitionControllerRegisters=./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bo IgnitionController=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionController.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo IgnitionProtocolParser=./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition/IgnitionProtocolParser.bo SidecarMainboardControllerTop=./env/676471a8cf401bee6e98bd8615ae74ba49dbb469/hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bo SchmittReg=./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv/SchmittReg.bo IgnitionReceiver=./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition/IgnitionReceiver.bo PCIeEndpointController=./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard/PCIeEndpointController.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Deserializer8b10b=./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv/Deserializer8b10b.bo SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo IgnitionEventCounter=./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition/IgnitionEventCounter.bo SidecarMainboardControllerReg=./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo |
977 | 2024-11-20T22:34:31.477Z | [287/520] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo.dyndep --object ./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo --source ../hdl/ip/bsv/I2C/I2CBitController.bsv Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo |
978 | 2024-11-20T22:34:31.573Z | [288/520] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/9858bc490ba7f5424b6a70741c9b2ddc377ee4fc/hdl/ip/bsv/examples:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkSequencerBlinky -vdir ./env/8fcfc6faa944b340c30b6ddfa660c4d4763db33d/hdl/projects/ignitionlet -bdir ./env/8fcfc6faa944b340c30b6ddfa660c4d4763db33d/hdl/projects/ignitionlet ../hdl/projects/ignitionlet/Examples.bsv |
979 | 2024-11-20T22:34:32.135Z | [289/520] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv ../hdl/ip/bsv/Serializer8b10b.bsv |
980 | 2024-11-20T22:34:32.148Z | [290/520] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv ../hdl/ip/bsv/Deserializer8b10b.bsv |
981 | 2024-11-20T22:34:32.169Z | [291/520] awk '$1=$1' RS=';' env/eb9140b7e26768e77a1364bd46363231ce782e26/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top.ys.rsp > env/eb9140b7e26768e77a1364bd46363231ce782e26/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top.ys |
982 | 2024-11-20T22:34:32.201Z | [292/520] awk '$1=$1' RS=';' env/57a800919108f1bd3692dfaae02dd8a786c75391/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top_rev_b.ys.rsp > env/57a800919108f1bd3692dfaae02dd8a786c75391/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top_rev_b.ys |
983 | 2024-11-20T22:34:32.213Z | [293/520] touch env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32/.force-dir-creation |
984 | 2024-11-20T22:34:32.217Z | [294/520] touch env/6e56ecb3db126524cbca36c4de39c5e1dbe52667/hdl/projects/sidecar/qsfp_x32/.force-dir-creation |
985 | 2024-11-20T22:34:32.217Z | [295/520] touch env/144aac00aaf4a709f026d19959a4522267b514d8/hdl/projects/sidecar/qsfp_x32/.force-dir-creation |
986 | 2024-11-20T22:34:32.217Z | [296/520] touch env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32/.force-dir-creation |
987 | 2024-11-20T22:34:32.221Z | [297/520] touch env/51827b14056bd71130c18fa44ec18a4b31fcaee5/hdl/projects/sidecar/qsfp_x32/.force-dir-creation |
988 | 2024-11-20T22:34:32.221Z | [298/520] touch env/d7e67e72b413a29aba90919be0d20f5679f0b3a9/hdl/projects/sidecar/qsfp_x32/.force-dir-creation |
989 | 2024-11-20T22:34:32.221Z | [299/520] touch env/bf11b15921f93079979ab45a19b7954be062b4fe/hdl/projects/sidecar/qsfp_x32/.force-dir-creation |
990 | 2024-11-20T22:34:32.222Z | [300/520] touch env/345dfe61acc09b46f5d97d4f08d2aaf2f605968e/hdl/projects/sidecar/qsfp_x32/.force-dir-creation |
991 | 2024-11-20T22:34:32.248Z | [301/520] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/345dfe61acc09b46f5d97d4f08d2aaf2f605968e/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTop.bo.dyndep --object ./env/345dfe61acc09b46f5d97d4f08d2aaf2f605968e/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTop.bo --source ../hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTop.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo VSC8562=./env/020c17baee8cb98c040468bcef868f79bf99afcf/hdl/projects/sidecar/qsfp_x32/VSC8562.bo CommonInterfaces=./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv/CommonInterfaces.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo QsfpX32ControllerTopRegs=./env/bf11b15921f93079979ab45a19b7954be062b4fe/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTopRegs.bo QsfpModuleController=./env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32/QsfpModuleController.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo QsfpX32ControllerRegsPkg=./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bo QsfpX32ControllerTop=./env/51827b14056bd71130c18fa44ec18a4b31fcaee5/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTop.bo MDIO=./env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO/MDIO.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo QsfpX32Controller=./env/144aac00aaf4a709f026d19959a4522267b514d8/hdl/projects/sidecar/qsfp_x32/QsfpX32Controller.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo QsfpX32ControllerSpiServer=./env/d7e67e72b413a29aba90919be0d20f5679f0b3a9/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerSpiServer.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo Blinky=./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo QsfpModulesTop=./env/6e56ecb3db126524cbca36c4de39c5e1dbe52667/hdl/projects/sidecar/qsfp_x32/QsfpModulesTop.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo |
992 | 2024-11-20T22:34:32.252Z | [302/532] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition -bdir ./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionReceiver.bsv |
993 | 2024-11-20T22:34:32.259Z | [303/532] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv -bdir ./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv ../hdl/ip/bsv/power_rail/PowerRail.bsv |
994 | 2024-11-20T22:34:32.291Z | [304/532] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32/QsfpModuleController.bo.dyndep --object ./env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32/QsfpModuleController.bo --source ../hdl/projects/sidecar/qsfp_x32/QSFPModule/QsfpModuleController.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo QsfpModuleController=./env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32/QsfpModuleController.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo QsfpX32ControllerRegsPkg=./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo CommonInterfaces=./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv/CommonInterfaces.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo |
995 | 2024-11-20T22:34:32.305Z | [305/536] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO/MDIO.bo.dyndep --object ./env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO/MDIO.bo --source ../hdl/ip/bsv/MDIO/MDIO.bsv Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo MDIO=./env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO/MDIO.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo |
996 | 2024-11-20T22:34:32.322Z | [306/536] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv/CommonInterfaces.bo.dyndep --object ./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv/CommonInterfaces.bo --source ../hdl/ip/bsv/CommonInterfaces.bsv CommonInterfaces=./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv/CommonInterfaces.bo |
997 | 2024-11-20T22:34:32.332Z | [307/536] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv -bdir ./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv ../hdl/ip/bsv/Debouncer.bsv |
998 | 2024-11-20T22:34:32.400Z | [308/536] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/6e56ecb3db126524cbca36c4de39c5e1dbe52667/hdl/projects/sidecar/qsfp_x32/QsfpModulesTop.bo.dyndep --object ./env/6e56ecb3db126524cbca36c4de39c5e1dbe52667/hdl/projects/sidecar/qsfp_x32/QsfpModulesTop.bo --source ../hdl/projects/sidecar/qsfp_x32/QSFPModule/QsfpModulesTop.bsv QsfpModulesTop=./env/6e56ecb3db126524cbca36c4de39c5e1dbe52667/hdl/projects/sidecar/qsfp_x32/QsfpModulesTop.bo Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo QsfpModuleController=./env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32/QsfpModuleController.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo QsfpX32ControllerRegsPkg=./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo CommonInterfaces=./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv/CommonInterfaces.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo |
999 | 2024-11-20T22:34:32.431Z | [309/536] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/144aac00aaf4a709f026d19959a4522267b514d8/hdl/projects/sidecar/qsfp_x32/QsfpX32Controller.bo.dyndep --object ./env/144aac00aaf4a709f026d19959a4522267b514d8/hdl/projects/sidecar/qsfp_x32/QsfpX32Controller.bo --source ../hdl/projects/sidecar/qsfp_x32/QsfpX32Controller.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo VSC8562=./env/020c17baee8cb98c040468bcef868f79bf99afcf/hdl/projects/sidecar/qsfp_x32/VSC8562.bo CommonInterfaces=./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv/CommonInterfaces.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo QsfpX32ControllerTopRegs=./env/bf11b15921f93079979ab45a19b7954be062b4fe/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTopRegs.bo QsfpModuleController=./env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32/QsfpModuleController.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo QsfpX32ControllerRegsPkg=./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bo MDIO=./env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO/MDIO.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo QsfpX32Controller=./env/144aac00aaf4a709f026d19959a4522267b514d8/hdl/projects/sidecar/qsfp_x32/QsfpX32Controller.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo QsfpX32ControllerSpiServer=./env/d7e67e72b413a29aba90919be0d20f5679f0b3a9/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerSpiServer.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo SPI=./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces/SPI.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo Blinky=./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo QsfpModulesTop=./env/6e56ecb3db126524cbca36c4de39c5e1dbe52667/hdl/projects/sidecar/qsfp_x32/QsfpModulesTop.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo |
1000 | 2024-11-20T22:34:32.451Z | [310/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv -bdir ./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples ../hdl/ip/bsv/examples/LoopbackUART.bsv |
1001 | 2024-11-20T22:34:32.471Z | [311/538] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/d7e67e72b413a29aba90919be0d20f5679f0b3a9/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerSpiServer.bo.dyndep --object ./env/d7e67e72b413a29aba90919be0d20f5679f0b3a9/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerSpiServer.bo --source ../hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerSpiServer.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo VSC8562=./env/020c17baee8cb98c040468bcef868f79bf99afcf/hdl/projects/sidecar/qsfp_x32/VSC8562.bo CommonInterfaces=./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv/CommonInterfaces.bo Debouncer=./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv/Debouncer.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo QsfpX32ControllerTopRegs=./env/bf11b15921f93079979ab45a19b7954be062b4fe/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTopRegs.bo QsfpModuleController=./env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32/QsfpModuleController.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo QsfpX32ControllerRegsPkg=./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bo MDIO=./env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO/MDIO.bo I2CCore=./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C/I2CCore.bo I2CCommon=./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C/I2CCommon.bo QsfpX32ControllerSpiServer=./env/d7e67e72b413a29aba90919be0d20f5679f0b3a9/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerSpiServer.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo I2CBitController=./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C/I2CBitController.bo QsfpModulesTop=./env/6e56ecb3db126524cbca36c4de39c5e1dbe52667/hdl/projects/sidecar/qsfp_x32/QsfpModulesTop.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo |
1002 | 2024-11-20T22:34:32.475Z | [312/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv -bdir ./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bsv |
1003 | 2024-11-20T22:34:32.592Z | [313/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv -bdir ./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionProtocol.bsv |
1004 | 2024-11-20T22:34:32.620Z | [314/538] python3 ../tools/site_cobble/rdl_pkg/rdl_cli.py --input ../hdl/ip/bsv/power_rail/power_rail.rdl ../hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.rdl --output env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bsv env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.html env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.adoc env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.json |
1005 | 2024-11-20T22:34:32.736Z | [315/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +: -bdir ./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv ../hdl/ip/bsv/CommonInterfaces.bsv |
1006 | 2024-11-20T22:34:32.850Z | [316/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C -bdir ./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C ../hdl/ip/bsv/I2C/I2CBitController.bsv |
1007 | 2024-11-20T22:34:32.864Z | [317/538] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/68235094574a39a1914170381c909ebc35720eed/hdl/projects/ignitionlet/sequencer_blinky.json.log -s ./env/5db0d697445940b44c3d8bef3966456ee6047731/hdl/projects/ignitionlet/sequencer_blinky.ys -b "json" -o env/68235094574a39a1914170381c909ebc35720eed/hdl/projects/ignitionlet/sequencer_blinky.json |
1008 | 2024-11-20T22:34:33.416Z | [318/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv -bdir ./env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO ../hdl/ip/bsv/MDIO/MDIO.bsv |
1009 | 2024-11-20T22:34:33.451Z | [319/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition -bdir ./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionEventCounter.bsv |
1010 | 2024-11-20T22:34:33.456Z | [320/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces:./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/92eb897f6dc6cce7ae8cc335a159d2ae1560166a/hdl/projects/ecp5_evn:./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples -verilog -g mkClocks -vdir ./env/7f1ad267fdb144468f2f5b23546d3db1400dadd4/hdl/projects/ecp5_evn -bdir ./env/7f1ad267fdb144468f2f5b23546d3db1400dadd4/hdl/projects/ecp5_evn ../hdl/projects/ecp5_evn/Examples.bsv |
1011 | 2024-11-20T22:34:33.522Z | [321/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv -bdir ./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionProtocolDeparser.bsv |
1012 | 2024-11-20T22:34:33.674Z | [322/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces:./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/92eb897f6dc6cce7ae8cc335a159d2ae1560166a/hdl/projects/ecp5_evn:./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples -verilog -g mkBlinky -vdir ./env/365cfec5e27ba62ea22ca8241d49403d6a569816/hdl/projects/ecp5_evn -bdir ./env/365cfec5e27ba62ea22ca8241d49403d6a569816/hdl/projects/ecp5_evn ../hdl/projects/ecp5_evn/Examples.bsv |
1013 | 2024-11-20T22:34:33.789Z | [323/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces:./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/92eb897f6dc6cce7ae8cc335a159d2ae1560166a/hdl/projects/ecp5_evn:./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples -verilog -g mkLoopbackUART -vdir ./env/fdc18e943036952ab0fc8c8b3b88a6361412fa1c/hdl/projects/ecp5_evn -bdir ./env/fdc18e943036952ab0fc8c8b3b88a6361412fa1c/hdl/projects/ecp5_evn ../hdl/projects/ecp5_evn/Examples.bsv |
1014 | 2024-11-20T22:34:33.888Z | [324/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition -bdir ./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionTransceiver.bsv |
1015 | 2024-11-20T22:34:34.134Z | [325/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C -bdir ./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C ../hdl/ip/bsv/I2C/I2CCore.bsv |
1016 | 2024-11-20T22:34:34.318Z | [326/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv -bdir ./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionTransmitter.bsv |
1017 | 2024-11-20T22:34:34.470Z | [327/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/449a81eefed52e7d79dcdbee2c187aab3142a795/hdl/projects/icestick:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces:./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples -verilog -g mkBlinky -vdir ./env/81d7e933d4d2108980009dfc7bf4bbf17963858e/hdl/projects/icestick -bdir ./env/81d7e933d4d2108980009dfc7bf4bbf17963858e/hdl/projects/icestick ../hdl/projects/icestick/Examples.bsv |
1018 | 2024-11-20T22:34:34.561Z | [328/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv -bdir ./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionProtocolParser.bsv |
1019 | 2024-11-20T22:34:34.739Z | [329/538] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/93b5a0f77cc612469408881c6aceaac9cd54d7ab/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.config.log --pcf ../hdl/projects/ignitionlet/ignitionlet_sequencer.pcf --json env/68235094574a39a1914170381c909ebc35720eed/hdl/projects/ignitionlet/sequencer_blinky.json --asc env/93b5a0f77cc612469408881c6aceaac9cd54d7ab/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.config |
1020 | 2024-11-20T22:34:34.772Z | [330/538] ln -sf ../../../../env/93b5a0f77cc612469408881c6aceaac9cd54d7ab/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.config.log latest/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.report.txt |
1021 | 2024-11-20T22:34:34.858Z | [331/538] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/93b5a0f77cc612469408881c6aceaac9cd54d7ab/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.bit |
1022 | 2024-11-20T22:34:34.870Z | [332/538] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/63fc0e0e31028ac4973d83277f4245507fe546e9/hdl/projects/ecp5_evn/blinky.json.log -s ./env/698e521e22f1cddb5ce813e057602c7d075113e9/hdl/projects/ecp5_evn/blinky.ys -b "json" -o env/63fc0e0e31028ac4973d83277f4245507fe546e9/hdl/projects/ecp5_evn/blinky.json |
1023 | 2024-11-20T22:34:34.895Z | [333/538] ln -sf ../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.bit latest/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.bit |
1024 | 2024-11-20T22:34:34.957Z | [334/538] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo.dyndep --object ./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo --source env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bsv SidecarMainboardControllerReg=./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo |
1025 | 2024-11-20T22:34:34.962Z | [335/538] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.json latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.json |
1026 | 2024-11-20T22:34:34.965Z | [336/538] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bsv latest/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bsv |
1027 | 2024-11-20T22:34:34.965Z | [337/538] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.html latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.html |
1028 | 2024-11-20T22:34:34.968Z | [338/538] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.adoc latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.adoc |
1029 | 2024-11-20T22:34:35.008Z | [339/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer -bdir ./env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer ../hdl/projects/gimlet/sequencer/A1Block.bsv |
1030 | 2024-11-20T22:34:35.016Z | [340/538] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/449a81eefed52e7d79dcdbee2c187aab3142a795/hdl/projects/icestick:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces:./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples -verilog -g mkLoopbackUART -vdir ./env/57b3cc47447a55fadcafc5c309b688d7cddea188/hdl/projects/icestick -bdir ./env/57b3cc47447a55fadcafc5c309b688d7cddea188/hdl/projects/icestick ../hdl/projects/icestick/Examples.bsv |
1031 | 2024-11-20T22:34:35.039Z | [341/538] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/bf11b15921f93079979ab45a19b7954be062b4fe/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTopRegs.bo.dyndep --object ./env/bf11b15921f93079979ab45a19b7954be062b4fe/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTopRegs.bo --source ../hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTopRegs.bsv QsfpX32ControllerRegsPkg=./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo QsfpX32ControllerTopRegs=./env/bf11b15921f93079979ab45a19b7954be062b4fe/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTopRegs.bo |
1032 | 2024-11-20T22:34:35.043Z | [342/538] touch env/020c17baee8cb98c040468bcef868f79bf99afcf/hdl/projects/sidecar/qsfp_x32/.force-dir-creation |
1033 | 2024-11-20T22:34:35.160Z | [343/538] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/020c17baee8cb98c040468bcef868f79bf99afcf/hdl/projects/sidecar/qsfp_x32/VSC8562.bo.dyndep --object ./env/020c17baee8cb98c040468bcef868f79bf99afcf/hdl/projects/sidecar/qsfp_x32/VSC8562.bo --source ../hdl/projects/sidecar/qsfp_x32/VSC8562/VSC8562.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo MDIO=./env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO/MDIO.bo VSC8562=./env/020c17baee8cb98c040468bcef868f79bf99afcf/hdl/projects/sidecar/qsfp_x32/VSC8562.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo Countdown=./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv/Countdown.bo PowerRail=./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv/PowerRail.bo QsfpX32ControllerRegsPkg=./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bo CommonFunctions=./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv/CommonFunctions.bo |
1034 | 2024-11-20T22:34:35.292Z | [344/538] awk '$1=$1' RS=';' env/b2fcc8e66dd988d2be6e480df3cfe4f3deff198b/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_top.ys.rsp > env/b2fcc8e66dd988d2be6e480df3cfe4f3deff198b/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_top.ys |
1035 | 2024-11-20T22:34:35.294Z | [345/538] touch env/f891000ddd35559ad72c8e4b655f79533b8687e1/hdl/projects/ulx3s/.force-dir-creation |
1036 | 2024-11-20T22:34:35.303Z | [346/538] awk '$1=$1' RS=';' env/a53391a6265b87b3d8e7cbc1137c853abe068a57/hdl/projects/ulx3s/blinky.ys.rsp > env/a53391a6265b87b3d8e7cbc1137c853abe068a57/hdl/projects/ulx3s/blinky.ys |
1037 | 2024-11-20T22:34:35.303Z | [347/538] touch env/91f6112c8cef0f00074d135d5d5f7318b20bfdaa/hdl/projects/ulx3s/.force-dir-creation |
1038 | 2024-11-20T22:34:35.303Z | [348/538] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/5b6a3f38f56795b8fbf4e297042185afaf7f26aa/hdl/projects/ulx3s/Examples.bo.dyndep --object ./env/5b6a3f38f56795b8fbf4e297042185afaf7f26aa/hdl/projects/ulx3s/Examples.bo --source ../hdl/projects/ulx3s/Examples.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo LoopbackUART=./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples/LoopbackUART.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo UART=./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/UART.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo ECP5=./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/ECP5.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo Blinky=./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo PLL=./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo Board=./env/f891000ddd35559ad72c8e4b655f79533b8687e1/hdl/projects/ulx3s/Board.bo |
1039 | 2024-11-20T22:34:35.303Z | [349/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer -bdir ./env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer ../hdl/projects/gimlet/sequencer/NicBlock.bsv |
1040 | 2024-11-20T22:34:35.315Z | [350/540] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/91f6112c8cef0f00074d135d5d5f7318b20bfdaa/hdl/projects/ulx3s/Examples.bo.dyndep --object ./env/91f6112c8cef0f00074d135d5d5f7318b20bfdaa/hdl/projects/ulx3s/Examples.bo --source ../hdl/projects/ulx3s/Examples.bsv Strobe=./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv/Strobe.bo IOSync=./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv/IOSync.bo LoopbackUART=./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples/LoopbackUART.bo BitSampling=./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv/BitSampling.bo UART=./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces/UART.bo Bidirection=./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv/Bidirection.bo ECP5=./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/ECP5.bo TestUtils=./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv/TestUtils.bo WriteOnlyTriState=./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv/WriteOnlyTriState.bo SerialIO=./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv/SerialIO.bo Blinky=./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples/Blinky.bo PLL=./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo Board=./env/f891000ddd35559ad72c8e4b655f79533b8687e1/hdl/projects/ulx3s/Board.bo |
1041 | 2024-11-20T22:34:35.324Z | [351/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer -bdir ./env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer ../hdl/projects/gimlet/sequencer/A0Block.bsv |
1042 | 2024-11-20T22:34:35.324Z | [352/540] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/f891000ddd35559ad72c8e4b655f79533b8687e1/hdl/projects/ulx3s/Board.bo.dyndep --object ./env/f891000ddd35559ad72c8e4b655f79533b8687e1/hdl/projects/ulx3s/Board.bo --source ../hdl/projects/ulx3s/Board.bsv ECP5=./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces/ECP5.bo PLL=./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv/PLL.bo Board=./env/f891000ddd35559ad72c8e4b655f79533b8687e1/hdl/projects/ulx3s/Board.bo |
1043 | 2024-11-20T22:34:35.333Z | [353/540] touch env/5b6a3f38f56795b8fbf4e297042185afaf7f26aa/hdl/projects/ulx3s/.force-dir-creation |
1044 | 2024-11-20T22:34:35.353Z | [354/540] awk '$1=$1' RS=';' env/d02fff4f39d1d5b4c57976bcc02197cb78e1446e/hdl/projects/ulx3s/loopback_uart.ys.rsp > env/d02fff4f39d1d5b4c57976bcc02197cb78e1446e/hdl/projects/ulx3s/loopback_uart.ys |
1045 | 2024-11-20T22:34:35.377Z | [355/540] cat /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/BRAM1.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/BRAM1BE.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/BRAM2.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/BRAM2BE.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/BypassCrossingWire.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/BypassWire.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/BypassWire0.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/CRegA5.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/CRegN5.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/CRegUN5.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ClockDiv.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ClockGen.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ClockInverter.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ClockMux.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ClockSelect.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ConfigRegA.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ConfigRegN.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ConfigRegUN.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/Counter.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/CrossingBypassWire.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/CrossingRegA.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/CrossingRegN.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/CrossingRegUN.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/DualPortRam.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/Empty.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/FIFO1.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/FIFO10.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/FIFO2.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/FIFO20.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/FIFOL1.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/FIFOL10.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/FIFOL2.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/FIFOL20.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/Fork.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/GatedClock.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/GatedClockDiv.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/GatedClockInverter.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/LatchCrossingReg.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/MakeClock.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/MakeReset.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/MakeReset0.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/MakeResetA.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/McpRegUN.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ProbeWire.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/RWire.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/RWire0.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/RegA.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/RegAligned.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/RegFile.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/RegN.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/RegTwoA.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/RegTwoN.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/RegTwoUN.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/RegUN.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ResetEither.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ResetInverter.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ResetMux.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ResetToBool.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/RevertReg.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SampleReg.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/ScanIn.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SizedFIFO.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SizedFIFO0.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SizedFIFOL.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SizedFIFOL0.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncBit.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncBit05.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncBit1.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncBit15.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncFIFO.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncFIFO0.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncFIFO1.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncFIFO10.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncFIFOLevel.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncFIFOLevel0.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncHandshake.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncPulse.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncRegister.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncReset.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncReset0.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncResetA.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/SyncWire.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/TriState.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/UngatedClockMux.v /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/lib/Verilog/UngatedClockSelect.v > env/a5100b25f626625e4edb22f8924275785c36bbdb/vnd/bluespec/Verilog.v |
1046 | 2024-11-20T22:34:35.515Z | [356/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/52ea730216b4a68cb453750981d7d88caca13478/hdl/projects/icestick/blinky.json.log -s ./env/325ec35fcb387f2151a2e99b2750681d78ebf0db/hdl/projects/icestick/blinky.ys -b "json" -o env/52ea730216b4a68cb453750981d7d88caca13478/hdl/projects/icestick/blinky.json |
1047 | 2024-11-20T22:34:35.525Z | [357/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -bdir ./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionTarget.bsv |
1048 | 2024-11-20T22:34:36.385Z | [358/540] python3 ../tools/site_cobble/rdl_pkg/rdl_cli.py --input ../hdl/projects/sidecar/qsfp_x32/VSC8562/vsc8562.rdl ../hdl/ip/bsv/I2C/I2CCore.rdl ../hdl/projects/sidecar/qsfp_x32/QSFPModule/qsfp_modules_top.rdl ../hdl/projects/sidecar/qsfp_x32/qsfp_x32_controller.rdl --output env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bsv env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.html env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.adoc env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.json |
1049 | 2024-11-20T22:34:36.392Z | [359/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces:./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv -bdir ./env/f891000ddd35559ad72c8e4b655f79533b8687e1/hdl/projects/ulx3s ../hdl/projects/ulx3s/Board.bsv |
1050 | 2024-11-20T22:34:36.392Z | [360/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ecp5 -q --um5g-85k --package CABGA381 -l env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/blinky_ecp5_evn.config.log --lpf ../hdl/projects/ecp5_evn/ecp5_evn_basic.lpf --json env/63fc0e0e31028ac4973d83277f4245507fe546e9/hdl/projects/ecp5_evn/blinky.json --textcfg env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/blinky_ecp5_evn.config |
1051 | 2024-11-20T22:34:36.392Z | [361/540] ln -sf ../../../../env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/blinky_ecp5_evn.config.log latest/hdl/projects/ecp5_evn/blinky_ecp5_evn.report.txt |
1052 | 2024-11-20T22:34:36.392Z | [362/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --hx1k --package tq144 -l env/cddee512b358dcebfb6b45860606b0b54748b25f/hdl/projects/icestick/blinky_icestick.config.log --pcf ../hdl/projects/icestick/icestick.pcf --json env/52ea730216b4a68cb453750981d7d88caca13478/hdl/projects/icestick/blinky.json --asc env/cddee512b358dcebfb6b45860606b0b54748b25f/hdl/projects/icestick/blinky_icestick.config |
1053 | 2024-11-20T22:34:36.392Z | [363/540] ln -sf ../../../../env/cddee512b358dcebfb6b45860606b0b54748b25f/hdl/projects/icestick/blinky_icestick.config.log latest/hdl/projects/icestick/blinky_icestick.report.txt |
1054 | 2024-11-20T22:34:36.392Z | [364/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/cddee512b358dcebfb6b45860606b0b54748b25f/hdl/projects/icestick/blinky_icestick.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/icestick/blinky_icestick.bit |
1055 | 2024-11-20T22:34:36.392Z | [365/540] ln -sf ../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/icestick/blinky_icestick.bit latest/hdl/projects/icestick/blinky_icestick.bit |
1056 | 2024-11-20T22:34:36.392Z | [366/540] ../tools/site_cobble/bluescan.py --bs-prefix /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04 --ninja env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bo.dyndep --object ./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bo --source env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bsv QsfpX32ControllerRegsPkg=./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bo RegCommon=./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv/RegCommon.bo |
1057 | 2024-11-20T22:34:36.393Z | [367/540] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bsv latest/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bsv |
1058 | 2024-11-20T22:34:36.393Z | [368/540] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.html latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.html |
1059 | 2024-11-20T22:34:36.393Z | [369/540] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.adoc latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.adoc |
1060 | 2024-11-20T22:34:36.393Z | [370/540] ln -sf ../../../../../env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.json latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.json |
1061 | 2024-11-20T22:34:36.651Z | [371/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv -bdir ./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionReceiver.bsv |
1062 | 2024-11-20T22:34:36.721Z | [372/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/2204db46e92f2ec3ebeb305f18b9bddf209c319b/hdl/projects/ecp5_evn/clocks.json.log -s ./env/e94a0ec4a9a5253136b6fb7e3ff5e3d8f83e05a6/hdl/projects/ecp5_evn/clocks.ys -b "json" -o env/2204db46e92f2ec3ebeb305f18b9bddf209c319b/hdl/projects/ecp5_evn/clocks.json |
1063 | 2024-11-20T22:34:36.925Z | [373/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/2c74728adaa88595fcac57dcef23367fc24d4b40/hdl/projects/ecp5_evn/loopback_uart.json.log -s ./env/8943ef5e701191d2de71ca86b5a5d132480de667/hdl/projects/ecp5_evn/loopback_uart.ys -b "json" -o env/2c74728adaa88595fcac57dcef23367fc24d4b40/hdl/projects/ecp5_evn/loopback_uart.json |
1064 | 2024-11-20T22:34:36.969Z | [374/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -bdir ./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionTargetWrapper.bsv |
1065 | 2024-11-20T22:34:37.166Z | [375/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv -bdir ./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bsv |
1066 | 2024-11-20T22:34:37.221Z | [376/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/1912a91af4f1fcd6fda40f82a3d55f93b3263134/hdl/projects/icestick/loopback_uart.json.log -s ./env/b16dcb3c44386a4a0c416507dc4162d447078f70/hdl/projects/icestick/loopback_uart.ys -b "json" -o env/1912a91af4f1fcd6fda40f82a3d55f93b3263134/hdl/projects/icestick/loopback_uart.json |
1067 | 2024-11-20T22:34:37.340Z | [377/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv:./env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer:./env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer:./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer:./env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer -bdir ./env/2d630b2781257868d8eec811a9016ec93beb3848/hdl/projects/gimlet/sequencer ../hdl/projects/gimlet/sequencer/GimletRegs.bsv |
1068 | 2024-11-20T22:34:37.451Z | [378/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/ecppack env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/blinky_ecp5_evn.config env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ecp5_evn/blinky_ecp5_evn.bit |
1069 | 2024-11-20T22:34:37.480Z | [379/540] ln -sf ../../../../env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ecp5_evn/blinky_ecp5_evn.bit latest/hdl/projects/ecp5_evn/blinky_ecp5_evn.bit |
1070 | 2024-11-20T22:34:38.095Z | [380/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv -bdir ./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionTransceiver.bsv |
1071 | 2024-11-20T22:34:38.142Z | [381/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ecp5 -q --um5g-85k --package CABGA381 -l env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.config.log --lpf ../hdl/projects/ecp5_evn/ecp5_evn_basic.lpf --json env/2c74728adaa88595fcac57dcef23367fc24d4b40/hdl/projects/ecp5_evn/loopback_uart.json --textcfg env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.config |
1072 | 2024-11-20T22:34:38.193Z | [382/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ecp5 -q --um5g-85k --package CABGA381 -l env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/clocks_ecp5_evn.config.log --lpf ../hdl/projects/ecp5_evn/ecp5_evn_basic.lpf --json env/2204db46e92f2ec3ebeb305f18b9bddf209c319b/hdl/projects/ecp5_evn/clocks.json --textcfg env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/clocks_ecp5_evn.config |
1073 | 2024-11-20T22:34:38.224Z | [383/540] ln -sf ../../../../env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/clocks_ecp5_evn.config.log latest/hdl/projects/ecp5_evn/clocks_ecp5_evn.report.txt |
1074 | 2024-11-20T22:34:39.692Z | [384/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces:./env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/2d630b2781257868d8eec811a9016ec93beb3848/hdl/projects/gimlet/sequencer:./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv:./env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer:./env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer:./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer:./env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer -bdir ./env/d598a781b72ab4244c5c2a57cfbfd691523df09f/hdl/projects/gimlet/sequencer ../hdl/projects/gimlet/sequencer/GimletSeqTop.bsv |
1075 | 2024-11-20T22:34:39.720Z | [385/540] ln -sf ../../../../env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.config.log latest/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.report.txt |
1076 | 2024-11-20T22:34:39.875Z | [386/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/ecppack env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/clocks_ecp5_evn.config env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ecp5_evn/clocks_ecp5_evn.bit |
1077 | 2024-11-20T22:34:39.887Z | [387/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition -bdir ./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionController.bsv |
1078 | 2024-11-20T22:34:39.900Z | [388/540] ln -sf ../../../../env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ecp5_evn/clocks_ecp5_evn.bit latest/hdl/projects/ecp5_evn/clocks_ecp5_evn.bit |
1079 | 2024-11-20T22:34:39.959Z | [389/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/0cca0c47c3fd66b240ed47ee1b0c79cf1134aedb/hdl/ip/bsv:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/d75bf2f543bb1accdabccb0fff940f8ba5b196b6/hdl/projects/ignitionlet:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition -bdir ./env/9b799a57d4ea69c47e5bd8f094d7110167e8194e/hdl/ip/bsv/ignition ../hdl/ip/bsv/ignition/IgnitionTarget.bsv |
1080 | 2024-11-20T22:34:40.320Z | [390/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --hx1k --package tq144 -l env/cddee512b358dcebfb6b45860606b0b54748b25f/hdl/projects/icestick/loopback_uart_icestick.config.log --pcf ../hdl/projects/icestick/icestick.pcf --json env/1912a91af4f1fcd6fda40f82a3d55f93b3263134/hdl/projects/icestick/loopback_uart.json --asc env/cddee512b358dcebfb6b45860606b0b54748b25f/hdl/projects/icestick/loopback_uart_icestick.config |
1081 | 2024-11-20T22:34:40.353Z | [391/540] ln -sf ../../../../env/cddee512b358dcebfb6b45860606b0b54748b25f/hdl/projects/icestick/loopback_uart_icestick.config.log latest/hdl/projects/icestick/loopback_uart_icestick.report.txt |
1082 | 2024-11-20T22:34:40.424Z | [392/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/cddee512b358dcebfb6b45860606b0b54748b25f/hdl/projects/icestick/loopback_uart_icestick.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/icestick/loopback_uart_icestick.bit |
1083 | 2024-11-20T22:34:40.444Z | [393/540] ln -sf ../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/icestick/loopback_uart_icestick.bit latest/hdl/projects/icestick/loopback_uart_icestick.bit |
1084 | 2024-11-20T22:34:41.247Z | [394/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv -bdir ./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32 env/5b35c7d2fc90a27f3356ffa8d792f457aa538fb6/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bsv |
1085 | 2024-11-20T22:34:41.354Z | [395/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/ecppack env/cbb283853fc477577e77c6b8b8752292aac361c7/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.config env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.bit |
1086 | 2024-11-20T22:34:41.380Z | [396/540] ln -sf ../../../../env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.bit latest/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.bit |
1087 | 2024-11-20T22:34:41.489Z | [397/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -suppress-warnings G0046 -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces:./env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/2d630b2781257868d8eec811a9016ec93beb3848/hdl/projects/gimlet/sequencer:./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv:./env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer:./env/a4acde25bc26bd9114b0cc39d30cdfd0aba02241/hdl/projects/gimlet/sequencer:./env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer:./env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces:./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer:./env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer -verilog -g mkGimletPowerSeqTop -vdir ./env/1b683657c28c182a3663ba012c766c2639805582/hdl/projects/gimlet/sequencer -bdir ./env/1b683657c28c182a3663ba012c766c2639805582/hdl/projects/gimlet/sequencer ../hdl/projects/gimlet/sequencer/AllEnable.bsv |
1088 | 2024-11-20T22:34:41.489Z | Warning: "../hdl/projects/gimlet/sequencer/AllEnable.bsv", line 110, column 29: (T0054) |
1089 | 2024-11-20T22:34:41.490Z | Field not defined: `seq_rev_id2' |
1090 | 2024-11-20T22:34:41.490Z | Warning: "../hdl/projects/gimlet/sequencer/AllEnable.bsv", line 110, column 29: (T0054) |
1091 | 2024-11-20T22:34:41.490Z | Field not defined: `seq_rev_id1' |
1092 | 2024-11-20T22:34:41.490Z | Warning: "../hdl/projects/gimlet/sequencer/AllEnable.bsv", line 110, column 29: (T0054) |
1093 | 2024-11-20T22:34:41.490Z | Field not defined: `seq_rev_id0' |
1094 | 2024-11-20T22:34:45.344Z | [398/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/d991bbfb611ff8bd964e5d727224aa97375f8d85/hdl/projects/gimlet/sequencer/gimlet_sdle_yosys.json.log -s ./env/ac03b78aea79d92e1200b15cae2f79cf15a6ee92/hdl/projects/gimlet/sequencer/gimlet_sdle_yosys.ys -b "json" -o env/d991bbfb611ff8bd964e5d727224aa97375f8d85/hdl/projects/gimlet/sequencer/gimlet_sdle_yosys.json |
1095 | 2024-11-20T22:34:46.741Z | [399/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --hx8k --package ct256 --freq 50 -l env/bdfc1de157e0cd0b6bbc43087733614e67aecb59/hdl/projects/gimlet/sequencer/gimlet_sdle_only.config.log --pcf ../hdl/projects/gimlet/sequencer/gimlet_sequencer.pcf --json env/d991bbfb611ff8bd964e5d727224aa97375f8d85/hdl/projects/gimlet/sequencer/gimlet_sdle_yosys.json --asc env/bdfc1de157e0cd0b6bbc43087733614e67aecb59/hdl/projects/gimlet/sequencer/gimlet_sdle_only.config |
1096 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'nic_to_seq_v1p1_pg' (on line 2) |
1097 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'pwr_cont_nic_pg1' (on line 6) |
1098 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'pwr_cont_nic_pg0' (on line 7) |
1099 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'testpoint1' (on line 11) |
1100 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'nic_to_seq_v1p5d_pg' (on line 15) |
1101 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'nic_to_seq_v1p5a_pg' (on line 16) |
1102 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'nic_to_seq_v1p2_pg' (on line 17) |
1103 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'testpoint2' (on line 18) |
1104 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'nic_to_seq_v1p2_enet_pg' (on line 19) |
1105 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'nic_to_seq_ext_rst_l' (on line 20) |
1106 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'sp3_to_sp_nic_pwren_l' (on line 24) |
1107 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'fanhp_to_seq_fault_l' (on line 26) |
1108 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'fanhp_to_seq_pwrgd' (on line 27) |
1109 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'vtt_ef_a0_to_seq_pg' (on line 29) |
1110 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'vtt_gh_a0_to_seq_pg' (on line 30) |
1111 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'seq_to_clk_gpio3' (on line 32) |
1112 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'seq_to_clk_gpio9' (on line 33) |
1113 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'seq_to_clk_gpio8' (on line 36) |
1114 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'seq_to_clk_gpio2' (on line 37) |
1115 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'seq_to_header_misc_i' (on line 38) |
1116 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'sp3_to_rsw_pwren_l_via_seq' (on line 39) |
1117 | 2024-11-20T22:34:46.741Z | Warning: unmatched constraint 'seq_proxy_sp3_to_rsw_pwren_l' (on line 40) |
1118 | 2024-11-20T22:34:46.742Z | Warning: unmatched constraint 'pwr_cont_dimm_efgh_pg0' (on line 41) |
1119 | 2024-11-20T22:34:46.742Z | Warning: unmatched constraint 'seq_to_clk_gpio1' (on line 42) |
1120 | 2024-11-20T22:34:46.742Z | Warning: unmatched constraint 'seq_to_clk_gpio4' (on line 43) |
1121 | 2024-11-20T22:34:46.742Z | Warning: unmatched constraint 'seq_to_header_misc_e' (on line 44) |
1122 | 2024-11-20T22:34:46.742Z | Warning: unmatched constraint 'seq_to_header_misc_f' (on line 45) |
1123 | 2024-11-20T22:34:46.742Z | Warning: unmatched constraint 'seq_to_header_misc_g' (on line 46) |
1124 | 2024-11-20T22:34:46.743Z | Warning: unmatched constraint 'seq_to_header_misc_h' (on line 47) |
1125 | 2024-11-20T22:34:46.743Z | Warning: unmatched constraint 'seq_to_clk_gpio5' (on line 48) |
1126 | 2024-11-20T22:34:46.743Z | Warning: unmatched constraint 'vtt_ab_a0_to_seq_pg' (on line 49) |
1127 | 2024-11-20T22:34:46.743Z | Warning: unmatched constraint 'vtt_cd_a0_to_seq_pg' (on line 50) |
1128 | 2024-11-20T22:34:46.743Z | Warning: unmatched constraint 'seq_to_sp_interrupt' (on line 51) |
1129 | 2024-11-20T22:34:46.743Z | Warning: unmatched constraint 'seq_v1p8_sp3_vdd_pg' (on line 56) |
1130 | 2024-11-20T22:34:46.743Z | Warning: unmatched constraint 'sp3_to_seq_nic_perst_l' (on line 58) |
1131 | 2024-11-20T22:34:46.743Z | Warning: unmatched constraint 'seq_to_sp_misc_a' (on line 62) |
1132 | 2024-11-20T22:34:46.743Z | Warning: unmatched constraint 'dimm_to_seq_efgh_v2p5_pg' (on line 65) |
1133 | 2024-11-20T22:34:46.743Z | Warning: unmatched constraint 'dimm_to_seq_abcd_v2p5_pg' (on line 66) |
1134 | 2024-11-20T22:34:46.743Z | Warning: unmatched constraint 'seq_to_sp_misc_d' (on line 68) |
1135 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'nic_v0p9_a0hp_pg' (on line 70) |
1136 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'pwr_cont_dimm_pg0' (on line 71) |
1137 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'v3p3_sys_to_seq_pg' (on line 72) |
1138 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'fan_to_seq_fan_fail' (on line 73) |
1139 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'sp3_to_seq_v3p3_s5_pg' (on line 74) |
1140 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'sp3_to_seq_v1p8_s5_pg' (on line 75) |
1141 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'pwr_cont_dimm_pg1' (on line 76) |
1142 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'pwr_cont1_sp3_cfp' (on line 77) |
1143 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'pwr_cont1_sp3_nvrhot' (on line 78) |
1144 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'sp3_to_seq_fsr_req_l' (on line 80) |
1145 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'sp3_to_seq_pwrgd_out' (on line 81) |
1146 | 2024-11-20T22:34:46.744Z | Warning: unmatched constraint 'seq_to_sp_misc_b' (on line 83) |
1147 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'pwr_cont2_sp3_pg1' (on line 86) |
1148 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'pwr_cont2_sp3_cfp' (on line 87) |
1149 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'pwr_cont_dimm_nvrhot' (on line 88) |
1150 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'pwr_cont1_sp3_pg0' (on line 90) |
1151 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'sp3_to_seq_reset_v3p3_l' (on line 92) |
1152 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'sp3_to_seq_thermtrip_l' (on line 95) |
1153 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'sp3_to_seq_slp_s3_l' (on line 96) |
1154 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'pwr_cont1_sp3_pg1' (on line 102) |
1155 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'sp3_to_seq_rtc_v1p5_pg' (on line 103) |
1156 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'sp3_to_seq_pwrok_v3p3' (on line 104) |
1157 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'sp3_to_seq_v0p9_vdd_soc_s5_pg' (on line 105) |
1158 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'seq_to_sp3_sys_rst_l' (on line 109) |
1159 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'sp3_to_seq_slp_s5_l' (on line 110) |
1160 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'seq_to_sp_misc_c' (on line 111) |
1161 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'pwr_cont2_sp3_pg0' (on line 113) |
1162 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'pwr_cont2_sp3_nvrhot' (on line 114) |
1163 | 2024-11-20T22:34:46.745Z | Warning: unmatched constraint 'pwr_cont_dimm_cfp' (on line 115) |
1164 | 2024-11-20T22:34:46.745Z | 68 warnings, 0 errors |
1165 | 2024-11-20T22:34:46.755Z | [400/540] ln -sf ../../../../../env/bdfc1de157e0cd0b6bbc43087733614e67aecb59/hdl/projects/gimlet/sequencer/gimlet_sdle_only.config.log latest/hdl/projects/gimlet/sequencer/gimlet_sdle_only.report.txt |
1166 | 2024-11-20T22:34:46.868Z | [401/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/bdfc1de157e0cd0b6bbc43087733614e67aecb59/hdl/projects/gimlet/sequencer/gimlet_sdle_only.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/sequencer/gimlet_sdle_only.bit |
1167 | 2024-11-20T22:34:46.899Z | [402/540] ln -sf ../../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/sequencer/gimlet_sdle_only.bit latest/hdl/projects/gimlet/sequencer/gimlet_sdle_only.bit |
1168 | 2024-11-20T22:34:47.432Z | [403/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkTransceiverDebugTop -vdir ./env/311e21c9542d6ebe4576af19f0cca4f6784fd8b3/hdl/projects/ignitionlet -bdir ./env/311e21c9542d6ebe4576af19f0cca4f6784fd8b3/hdl/projects/ignitionlet ../hdl/projects/ignitionlet/TransceiverDebugTop.bsv |
1169 | 2024-11-20T22:34:49.301Z | [404/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -suppress-warnings G0046 -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces:./env/19862ebfe628d2f75189502c904fda3a02143ad4/hdl/projects/gimlet/sequencer:./env/1ac5cd660b6b8df99e4e7d29044472c484fe4880/hdl/projects/gimlet/sequencer:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/2d630b2781257868d8eec811a9016ec93beb3848/hdl/projects/gimlet/sequencer:./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv:./env/85136f981ce773f66a6d0161e91ff4c4fc82c8d3/hdl/projects/gimlet/sequencer:./env/b4a29195a1d49e5f6c8c15cb69e3597ee0b1f218/hdl/projects/gimlet/sequencer:./env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces:./env/d15ac163bec3503e159cdcc38c207e89f00cda51/hdl/projects/gimlet/sequencer:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/d598a781b72ab4244c5c2a57cfbfd691523df09f/hdl/projects/gimlet/sequencer:./env/e79b58d60ad9c0081b8c604ff377126d6d718d86/hdl/projects/gimlet/sequencer:./env/f43d5addc2a8cef6f50df90253cb78971d01f761/hdl/projects/gimlet/sequencer -verilog -g mkGimletSeqTop -vdir ./env/5f31029f59d4174de0404b8c593e1d2c4122a5f8/hdl/projects/gimlet/sequencer -bdir ./env/5f31029f59d4174de0404b8c593e1d2c4122a5f8/hdl/projects/gimlet/sequencer ../hdl/projects/gimlet/sequencer/GimletTopIOSync.bsv |
1170 | 2024-11-20T22:34:49.301Z | Warning: Unknown position: (S0080) |
1171 | 2024-11-20T22:34:49.301Z | 30 warnings were suppressed. |
1172 | 2024-11-20T22:34:52.014Z | [405/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkGimletRevBTargetWithPowerButton -vdir ./env/d522f421e83cbc571dd7f6050c1cd41967817086/hdl/projects/gimlet/ignition_target -bdir ./env/d522f421e83cbc571dd7f6050c1cd41967817086/hdl/projects/gimlet/ignition_target ../hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bsv |
1173 | 2024-11-20T22:34:52.988Z | [406/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/97804a452e540ba4939c804ff9d1d41619990348/hdl/projects/ignitionlet/transceiver_debug_top.json.log -s ./env/a807045ac67ba6c870b9818051bf888138ec4005/hdl/projects/ignitionlet/transceiver_debug_top.ys -b "json" -o env/97804a452e540ba4939c804ff9d1d41619990348/hdl/projects/ignitionlet/transceiver_debug_top.json |
1174 | 2024-11-20T22:34:53.072Z | [407/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkGimletRevBTarget -vdir ./env/83875d1d99131e31c08148ae5a67a41f428a75a0/hdl/projects/gimlet/ignition_target -bdir ./env/83875d1d99131e31c08148ae5a67a41f428a75a0/hdl/projects/gimlet/ignition_target ../hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bsv |
1175 | 2024-11-20T22:34:53.153Z | [408/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkGimletRevBTargetWithResetButton -vdir ./env/ee6327befd01541222d4c901ea2ee3b41e442e2d/hdl/projects/gimlet/ignition_target -bdir ./env/ee6327befd01541222d4c901ea2ee3b41e442e2d/hdl/projects/gimlet/ignition_target ../hdl/projects/gimlet/ignition_target/IgnitionTargetGimlet.bsv |
1176 | 2024-11-20T22:34:54.620Z | [409/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkIgnitionletTargetWithPowerButton -vdir ./env/c269383d0916a6717f0baab7cc785d1c23a62f5e/hdl/projects/ignitionlet -bdir ./env/c269383d0916a6717f0baab7cc785d1c23a62f5e/hdl/projects/ignitionlet ../hdl/projects/ignitionlet/IgnitionletTargetTop.bsv |
1177 | 2024-11-20T22:34:55.094Z | [410/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/97f92e3c9cb93f9726185dbde015f50f665a9cba/hdl/projects/ignitionlet/transceiver_debug.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target_debug.pcf --json env/97804a452e540ba4939c804ff9d1d41619990348/hdl/projects/ignitionlet/transceiver_debug_top.json --asc env/97f92e3c9cb93f9726185dbde015f50f665a9cba/hdl/projects/ignitionlet/transceiver_debug.config |
1178 | 2024-11-20T22:34:55.097Z | [411/540] ln -sf ../../../../env/97f92e3c9cb93f9726185dbde015f50f665a9cba/hdl/projects/ignitionlet/transceiver_debug.config.log latest/hdl/projects/ignitionlet/transceiver_debug.report.txt |
1179 | 2024-11-20T22:34:55.180Z | [412/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/97f92e3c9cb93f9726185dbde015f50f665a9cba/hdl/projects/ignitionlet/transceiver_debug.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/transceiver_debug.bit |
1180 | 2024-11-20T22:34:55.211Z | [413/540] ln -sf ../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/transceiver_debug.bit latest/hdl/projects/ignitionlet/transceiver_debug.bit |
1181 | 2024-11-20T22:34:55.554Z | [414/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkIgnitionletTargetWithResetButton -vdir ./env/7f3e791608df57429dafd341baf12042ff2e65b5/hdl/projects/ignitionlet -bdir ./env/7f3e791608df57429dafd341baf12042ff2e65b5/hdl/projects/ignitionlet ../hdl/projects/ignitionlet/IgnitionletTargetTop.bsv |
1182 | 2024-11-20T22:34:55.728Z | [415/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkPSCRevBResetButton -vdir ./env/38c35e7617da68a86222c1b859da1dde79de63c6/hdl/projects/psc -bdir ./env/38c35e7617da68a86222c1b859da1dde79de63c6/hdl/projects/psc ../hdl/projects/psc/IgnitionTargetPSC.bsv |
1183 | 2024-11-20T22:34:56.403Z | [416/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkPSCRevAResetButton -vdir ./env/8d6941ba3046c5b52c1b923714329b16a96142c3/hdl/projects/psc -bdir ./env/8d6941ba3046c5b52c1b923714329b16a96142c3/hdl/projects/psc ../hdl/projects/psc/IgnitionTargetPSC.bsv |
1184 | 2024-11-20T22:34:57.662Z | [417/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/63e3051296499c59e12c8f1c8b44d0b7c176a35b/hdl/projects/gimlet/sequencer/gimlet_sequencer_yosys.json.log -s ./env/d245061359befe0b24dcd0d806bf1af9ce39afe2/hdl/projects/gimlet/sequencer/gimlet_sequencer_yosys.ys -b "json" -o env/63e3051296499c59e12c8f1c8b44d0b7c176a35b/hdl/projects/gimlet/sequencer/gimlet_sequencer_yosys.json |
1185 | 2024-11-20T22:34:59.381Z | [418/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/d6940ad66091f51c7494a3b174cff7a062f3c52c/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_power_button_top.json.log -s ./env/5deb3edf0a2418c2906c7aceefaf8d2302264a04/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_power_button_top.ys -b "json" -o env/d6940ad66091f51c7494a3b174cff7a062f3c52c/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_power_button_top.json |
1186 | 2024-11-20T22:34:59.785Z | [419/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/43f05ea81ce13c351aa97724aa46fff27ad358d6/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_top.json.log -s ./env/3934f51976ad00747937f6cb1acbfc9133bc6888/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_top.ys -b "json" -o env/43f05ea81ce13c351aa97724aa46fff27ad358d6/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_top.json |
1187 | 2024-11-20T22:35:00.659Z | [420/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/9686cc6ac2f4f5db58d8e02667a03d2261d074f9/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_reset_button_top.json.log -s ./env/ef11944836aca4836cc80d72fd62c86849712ddc/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_reset_button_top.ys -b "json" -o env/9686cc6ac2f4f5db58d8e02667a03d2261d074f9/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_reset_button_top.json |
1188 | 2024-11-20T22:35:00.787Z | [421/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkPSCRevB -vdir ./env/7726524d4d9bd8da16858a039e82266b6f6de21c/hdl/projects/psc -bdir ./env/7726524d4d9bd8da16858a039e82266b6f6de21c/hdl/projects/psc ../hdl/projects/psc/IgnitionTargetPSC.bsv |
1189 | 2024-11-20T22:35:01.488Z | [422/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/39b435ac5cd903b661492de5f54a3ae188604a9a/hdl/projects/ignitionlet/ignitionlet_target_power_button_top.json.log -s ./env/f32aeae537d1d9a8de761b00a11dafd8a3c127b4/hdl/projects/ignitionlet/ignitionlet_target_power_button_top.ys -b "json" -o env/39b435ac5cd903b661492de5f54a3ae188604a9a/hdl/projects/ignitionlet/ignitionlet_target_power_button_top.json |
1190 | 2024-11-20T22:35:02.688Z | [423/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/87a579e48fcf61c51589b6ac3c0d13700a24a57d/hdl/projects/psc/ignition_target_psc_rev_b_reset_button_top.json.log -s ./env/e55812cd91d7fbff532e4e6828d2a374a1c9c89d/hdl/projects/psc/ignition_target_psc_rev_b_reset_button_top.ys -b "json" -o env/87a579e48fcf61c51589b6ac3c0d13700a24a57d/hdl/projects/psc/ignition_target_psc_rev_b_reset_button_top.json |
1191 | 2024-11-20T22:35:02.814Z | [424/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/83dd9dd46f1c3dbd250cb52c30784ea64da353a6/hdl/projects/ignitionlet/ignitionlet_target_reset_button_top.json.log -s ./env/58f82980582ad3294ba2dc1dc8180aaab3bfb236/hdl/projects/ignitionlet/ignitionlet_target_reset_button_top.ys -b "json" -o env/83dd9dd46f1c3dbd250cb52c30784ea64da353a6/hdl/projects/ignitionlet/ignitionlet_target_reset_button_top.json |
1192 | 2024-11-20T22:35:02.870Z | [425/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --hx8k --package ct256 --freq 50 -l env/bdfc1de157e0cd0b6bbc43087733614e67aecb59/hdl/projects/gimlet/sequencer/gimlet_sequencer.config.log --pcf ../hdl/projects/gimlet/sequencer/gimlet_sequencer.pcf --json env/63e3051296499c59e12c8f1c8b44d0b7c176a35b/hdl/projects/gimlet/sequencer/gimlet_sequencer_yosys.json --asc env/bdfc1de157e0cd0b6bbc43087733614e67aecb59/hdl/projects/gimlet/sequencer/gimlet_sequencer.config |
1193 | 2024-11-20T22:35:02.870Z | Warning: unmatched constraint 'testpoint1' (on line 11) |
1194 | 2024-11-20T22:35:02.870Z | Warning: unmatched constraint 'testpoint2' (on line 18) |
1195 | 2024-11-20T22:35:02.870Z | 2 warnings, 0 errors |
1196 | 2024-11-20T22:35:02.874Z | [426/540] ln -sf ../../../../../env/bdfc1de157e0cd0b6bbc43087733614e67aecb59/hdl/projects/gimlet/sequencer/gimlet_sequencer.config.log latest/hdl/projects/gimlet/sequencer/gimlet_sequencer.report.txt |
1197 | 2024-11-20T22:35:02.994Z | [427/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/b193c9d09e39b5aa6a7aea1166bae9071cc46b24/hdl/projects/psc/ignition_target_psc_rev_a_reset_button_top.json.log -s ./env/7714ecc00fa239944fa465b952e0edcb04a01891/hdl/projects/psc/ignition_target_psc_rev_a_reset_button_top.ys -b "json" -o env/b193c9d09e39b5aa6a7aea1166bae9071cc46b24/hdl/projects/psc/ignition_target_psc_rev_a_reset_button_top.json |
1198 | 2024-11-20T22:35:02.998Z | [428/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/bdfc1de157e0cd0b6bbc43087733614e67aecb59/hdl/projects/gimlet/sequencer/gimlet_sequencer.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/sequencer/gimlet_sequencer.bit |
1199 | 2024-11-20T22:35:03.046Z | [429/540] ln -sf ../../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/sequencer/gimlet_sequencer.bit latest/hdl/projects/gimlet/sequencer/gimlet_sequencer.bit |
1200 | 2024-11-20T22:35:06.388Z | [430/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/d6940ad66091f51c7494a3b174cff7a062f3c52c/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_power_button_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.config |
1201 | 2024-11-20T22:35:06.426Z | [431/540] ln -sf ../../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.config.log latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.report.txt |
1202 | 2024-11-20T22:35:06.500Z | [432/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.bit |
1203 | 2024-11-20T22:35:06.530Z | [433/540] ln -sf ../../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.bit latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.bit |
1204 | 2024-11-20T22:35:06.935Z | [434/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/b2eb480603c96b1344422f3f87b9d20195a99d46/hdl/projects/psc/ignition_target_psc_rev_b_top.json.log -s ./env/b9568584a911e11c2fac4c0eaa92351db56ef802/hdl/projects/psc/ignition_target_psc_rev_b_top.ys -b "json" -o env/b2eb480603c96b1344422f3f87b9d20195a99d46/hdl/projects/psc/ignition_target_psc_rev_b_top.json |
1205 | 2024-11-20T22:35:08.610Z | [435/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/ignitionlet/ignitionlet_target_power_button.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/39b435ac5cd903b661492de5f54a3ae188604a9a/hdl/projects/ignitionlet/ignitionlet_target_power_button_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/ignitionlet/ignitionlet_target_power_button.config |
1206 | 2024-11-20T22:35:08.638Z | [436/540] ln -sf ../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/ignitionlet/ignitionlet_target_power_button.config.log latest/hdl/projects/ignitionlet/ignitionlet_target_power_button.report.txt |
1207 | 2024-11-20T22:35:08.641Z | [437/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/43f05ea81ce13c351aa97724aa46fff27ad358d6/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.config |
1208 | 2024-11-20T22:35:08.644Z | [438/540] ln -sf ../../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.config.log latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.report.txt |
1209 | 2024-11-20T22:35:08.657Z | [439/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.bit |
1210 | 2024-11-20T22:35:08.660Z | [440/540] ln -sf ../../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.bit latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.bit |
1211 | 2024-11-20T22:35:08.669Z | [441/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/ignitionlet/ignitionlet_target_power_button.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/ignitionlet_target_power_button.bit |
1212 | 2024-11-20T22:35:08.672Z | [442/540] ln -sf ../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/ignitionlet_target_power_button.bit latest/hdl/projects/ignitionlet/ignitionlet_target_power_button.bit |
1213 | 2024-11-20T22:35:09.499Z | [443/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkSidecarRevATargetWithResetButton -vdir ./env/e624bbc6af0bff8f1e6ea224327bc36e8d57838e/hdl/projects/sidecar/ignition_target -bdir ./env/e624bbc6af0bff8f1e6ea224327bc36e8d57838e/hdl/projects/sidecar/ignition_target ../hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bsv |
1214 | 2024-11-20T22:35:10.552Z | [444/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/ignitionlet/ignitionlet_target_reset_button.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/83dd9dd46f1c3dbd250cb52c30784ea64da353a6/hdl/projects/ignitionlet/ignitionlet_target_reset_button_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/ignitionlet/ignitionlet_target_reset_button.config |
1215 | 2024-11-20T22:35:10.555Z | [445/540] ln -sf ../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/ignitionlet/ignitionlet_target_reset_button.config.log latest/hdl/projects/ignitionlet/ignitionlet_target_reset_button.report.txt |
1216 | 2024-11-20T22:35:10.613Z | [446/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/ignitionlet/ignitionlet_target_reset_button.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/ignitionlet_target_reset_button.bit |
1217 | 2024-11-20T22:35:10.636Z | [447/540] ln -sf ../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/ignitionlet/ignitionlet_target_reset_button.bit latest/hdl/projects/ignitionlet/ignitionlet_target_reset_button.bit |
1218 | 2024-11-20T22:35:11.915Z | [448/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -p +:./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces:./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv:./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard:./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition -bdir ./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard ../hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bsv |
1219 | 2024-11-20T22:35:11.915Z | Warning: "../hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bsv", line 41, column 58: (T0054) |
1220 | 2024-11-20T22:35:11.916Z | Field not defined: `registers' |
1221 | 2024-11-20T22:35:11.916Z | Warning: "../hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bsv", line 70, column 15: (T0054) |
1222 | 2024-11-20T22:35:11.916Z | Field not defined: `thermal_alert' |
1223 | 2024-11-20T22:35:11.916Z | Warning: "../hdl/projects/sidecar/mainboard/SidecarMainboardMiscSequencers.bsv", line 96, column 65: (T0054) |
1224 | 2024-11-20T22:35:11.916Z | Field not defined: `registers' |
1225 | 2024-11-20T22:35:13.081Z | [449/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/9686cc6ac2f4f5db58d8e02667a03d2261d074f9/hdl/projects/gimlet/ignition_target/ignition_target_rev_b_reset_button_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.config |
1226 | 2024-11-20T22:35:13.130Z | [450/540] ln -sf ../../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.config.log latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.report.txt |
1227 | 2024-11-20T22:35:13.236Z | [451/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.bit |
1228 | 2024-11-20T22:35:13.258Z | [452/540] ln -sf ../../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.bit latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.bit |
1229 | 2024-11-20T22:35:13.743Z | [453/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C -bdir ./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard ../hdl/projects/sidecar/mainboard/TofinoDebugPort.bsv |
1230 | 2024-11-20T22:35:14.634Z | [454/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/b193c9d09e39b5aa6a7aea1166bae9071cc46b24/hdl/projects/psc/ignition_target_psc_rev_a_reset_button_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.config |
1231 | 2024-11-20T22:35:14.654Z | [455/540] ln -sf ../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.config.log latest/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.report.txt |
1232 | 2024-11-20T22:35:14.732Z | [456/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.bit |
1233 | 2024-11-20T22:35:14.742Z | [457/540] ln -sf ../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.bit latest/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.bit |
1234 | 2024-11-20T22:35:15.205Z | [458/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv -bdir ./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard ../hdl/projects/sidecar/mainboard/Tofino2Sequencer.bsv |
1235 | 2024-11-20T22:35:15.585Z | [459/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32 -bdir ./env/bf11b15921f93079979ab45a19b7954be062b4fe/hdl/projects/sidecar/qsfp_x32 ../hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTopRegs.bsv |
1236 | 2024-11-20T22:35:15.680Z | [460/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv -bdir ./env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32 ../hdl/projects/sidecar/qsfp_x32/QSFPModule/QsfpModuleController.bsv |
1237 | 2024-11-20T22:35:15.829Z | [461/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/87a579e48fcf61c51589b6ac3c0d13700a24a57d/hdl/projects/psc/ignition_target_psc_rev_b_reset_button_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.config |
1238 | 2024-11-20T22:35:15.856Z | [462/540] ln -sf ../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.config.log latest/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.report.txt |
1239 | 2024-11-20T22:35:15.924Z | [463/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.bit |
1240 | 2024-11-20T22:35:15.946Z | [464/540] ln -sf ../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.bit latest/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.bit |
1241 | 2024-11-20T22:35:16.196Z | [465/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_b.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/b2eb480603c96b1344422f3f87b9d20195a99d46/hdl/projects/psc/ignition_target_psc_rev_b_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_b.config |
1242 | 2024-11-20T22:35:16.227Z | [466/540] ln -sf ../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_b.config.log latest/hdl/projects/psc/ignition_target_psc_rev_b.report.txt |
1243 | 2024-11-20T22:35:16.319Z | [467/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/psc/ignition_target_psc_rev_b.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/psc/ignition_target_psc_rev_b.bit |
1244 | 2024-11-20T22:35:16.333Z | [468/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard:./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv -bdir ./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard ../hdl/projects/sidecar/mainboard/PCIeEndpointController.bsv |
1245 | 2024-11-20T22:35:16.333Z | Warning: "../hdl/projects/sidecar/mainboard/PCIeEndpointController.bsv", line 111, column 15: (T0054) |
1246 | 2024-11-20T22:35:16.333Z | Field not defined: `_read' |
1247 | 2024-11-20T22:35:16.349Z | [469/540] ln -sf ../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/psc/ignition_target_psc_rev_b.bit latest/hdl/projects/psc/ignition_target_psc_rev_b.bit |
1248 | 2024-11-20T22:35:16.930Z | [470/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/f8c7a92ad3a9a79cbec12f3f61fadd946df3f553/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_reset_button_top.json.log -s ./env/1acd0246594d5705556eb9f13eb1443ec9cf93a6/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_reset_button_top.ys -b "json" -o env/f8c7a92ad3a9a79cbec12f3f61fadd946df3f553/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_reset_button_top.json |
1249 | 2024-11-20T22:35:17.018Z | [471/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces:./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/f891000ddd35559ad72c8e4b655f79533b8687e1/hdl/projects/ulx3s:./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples -verilog -g mkBlinky -vdir ./env/91f6112c8cef0f00074d135d5d5f7318b20bfdaa/hdl/projects/ulx3s -bdir ./env/91f6112c8cef0f00074d135d5d5f7318b20bfdaa/hdl/projects/ulx3s ../hdl/projects/ulx3s/Examples.bsv |
1250 | 2024-11-20T22:35:17.320Z | [472/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv -bdir ./env/020c17baee8cb98c040468bcef868f79bf99afcf/hdl/projects/sidecar/qsfp_x32 ../hdl/projects/sidecar/qsfp_x32/VSC8562/VSC8562.bsv |
1251 | 2024-11-20T22:35:17.698Z | [473/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/615fa96d7806835d16b53afb427b1773e21401f8/hdl/ip/bsv/interfaces:./env/8aa8115b11a95c467ee58bc8d4fe791d0ec84f7a/hdl/ip/bsv/examples:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/f891000ddd35559ad72c8e4b655f79533b8687e1/hdl/projects/ulx3s:./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples -verilog -g mkLoopbackUART -vdir ./env/5b6a3f38f56795b8fbf4e297042185afaf7f26aa/hdl/projects/ulx3s -bdir ./env/5b6a3f38f56795b8fbf4e297042185afaf7f26aa/hdl/projects/ulx3s ../hdl/projects/ulx3s/Examples.bsv |
1252 | 2024-11-20T22:35:17.779Z | [474/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkSidecarRevATargetWithPowerButton -vdir ./env/3b2396260746c17cd004a2cbf46dc6b08d6fd561/hdl/projects/sidecar/ignition_target -bdir ./env/3b2396260746c17cd004a2cbf46dc6b08d6fd561/hdl/projects/sidecar/ignition_target ../hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bsv |
1253 | 2024-11-20T22:35:17.853Z | [475/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32 -bdir ./env/6e56ecb3db126524cbca36c4de39c5e1dbe52667/hdl/projects/sidecar/qsfp_x32 ../hdl/projects/sidecar/qsfp_x32/QSFPModule/QsfpModulesTop.bsv |
1254 | 2024-11-20T22:35:18.004Z | [476/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/326b47d0222a833b73305a77fd716c0140577c3b/hdl/projects/ulx3s/blinky.json.log -s ./env/a53391a6265b87b3d8e7cbc1137c853abe068a57/hdl/projects/ulx3s/blinky.ys -b "json" -o env/326b47d0222a833b73305a77fd716c0140577c3b/hdl/projects/ulx3s/blinky.json |
1255 | 2024-11-20T22:35:18.642Z | [477/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -p +:./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces:./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv:./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard:./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition -bdir ./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard ../hdl/projects/sidecar/mainboard/SidecarMainboardController.bsv |
1256 | 2024-11-20T22:35:19.085Z | [478/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/3131103d25e468cb2b253d3fdbb7584b6a61f2fb/hdl/projects/ulx3s/loopback_uart.json.log -s ./env/d02fff4f39d1d5b4c57976bcc02197cb78e1446e/hdl/projects/ulx3s/loopback_uart.ys -b "json" -o env/3131103d25e468cb2b253d3fdbb7584b6a61f2fb/hdl/projects/ulx3s/loopback_uart.json |
1257 | 2024-11-20T22:35:19.350Z | [479/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ecp5 -q --85k --package CABGA381 -l env/c64f510d223b88efe38bdc73a3d751a51bf655a1/hdl/projects/ulx3s/blinky_ulx3s.config.log --lpf ../hdl/projects/ulx3s/ulx3s_v20.lpf --json env/326b47d0222a833b73305a77fd716c0140577c3b/hdl/projects/ulx3s/blinky.json --textcfg env/c64f510d223b88efe38bdc73a3d751a51bf655a1/hdl/projects/ulx3s/blinky_ulx3s.config |
1258 | 2024-11-20T22:35:19.350Z | [480/540] ln -sf ../../../../env/c64f510d223b88efe38bdc73a3d751a51bf655a1/hdl/projects/ulx3s/blinky_ulx3s.config.log latest/hdl/projects/ulx3s/blinky_ulx3s.report.txt |
1259 | 2024-11-20T22:35:20.211Z | [481/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/020c17baee8cb98c040468bcef868f79bf99afcf/hdl/projects/sidecar/qsfp_x32:./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/6e56ecb3db126524cbca36c4de39c5e1dbe52667/hdl/projects/sidecar/qsfp_x32:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32:./env/bf11b15921f93079979ab45a19b7954be062b4fe/hdl/projects/sidecar/qsfp_x32:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32 -bdir ./env/d7e67e72b413a29aba90919be0d20f5679f0b3a9/hdl/projects/sidecar/qsfp_x32 ../hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerSpiServer.bsv |
1260 | 2024-11-20T22:35:20.289Z | [482/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ecp5 -q --85k --package CABGA381 -l env/c64f510d223b88efe38bdc73a3d751a51bf655a1/hdl/projects/ulx3s/loopback_uart_ulx3s.config.log --lpf ../hdl/projects/ulx3s/ulx3s_v20.lpf --json env/3131103d25e468cb2b253d3fdbb7584b6a61f2fb/hdl/projects/ulx3s/loopback_uart.json --textcfg env/c64f510d223b88efe38bdc73a3d751a51bf655a1/hdl/projects/ulx3s/loopback_uart_ulx3s.config |
1261 | 2024-11-20T22:35:20.293Z | [483/540] ln -sf ../../../../env/c64f510d223b88efe38bdc73a3d751a51bf655a1/hdl/projects/ulx3s/loopback_uart_ulx3s.config.log latest/hdl/projects/ulx3s/loopback_uart_ulx3s.report.txt |
1262 | 2024-11-20T22:35:20.478Z | [484/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/ecppack env/c64f510d223b88efe38bdc73a3d751a51bf655a1/hdl/projects/ulx3s/blinky_ulx3s.config env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ulx3s/blinky_ulx3s.bit |
1263 | 2024-11-20T22:35:20.509Z | [485/540] ln -sf ../../../../env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ulx3s/blinky_ulx3s.bit latest/hdl/projects/ulx3s/blinky_ulx3s.bit |
1264 | 2024-11-20T22:35:20.702Z | [486/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkSidecarRevBTargetWithPowerButton -vdir ./env/86001d008946c1cec2c12a865de83b38566e762b/hdl/projects/sidecar/ignition_target -bdir ./env/86001d008946c1cec2c12a865de83b38566e762b/hdl/projects/sidecar/ignition_target ../hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bsv |
1265 | 2024-11-20T22:35:20.915Z | [487/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -p +:./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces:./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv:./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard:./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition -bdir ./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard ../hdl/projects/sidecar/mainboard/SidecarMainboardControllerSpiServer.bsv |
1266 | 2024-11-20T22:35:21.811Z | [488/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/ecppack env/c64f510d223b88efe38bdc73a3d751a51bf655a1/hdl/projects/ulx3s/loopback_uart_ulx3s.config env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ulx3s/loopback_uart_ulx3s.bit |
1267 | 2024-11-20T22:35:21.837Z | [489/540] ln -sf ../../../../env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/ulx3s/loopback_uart_ulx3s.bit latest/hdl/projects/ulx3s/loopback_uart_ulx3s.bit |
1268 | 2024-11-20T22:35:22.173Z | [490/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -p +:./env/020c17baee8cb98c040468bcef868f79bf99afcf/hdl/projects/sidecar/qsfp_x32:./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv:./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/6e56ecb3db126524cbca36c4de39c5e1dbe52667/hdl/projects/sidecar/qsfp_x32:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32:./env/bf11b15921f93079979ab45a19b7954be062b4fe/hdl/projects/sidecar/qsfp_x32:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/d7e67e72b413a29aba90919be0d20f5679f0b3a9/hdl/projects/sidecar/qsfp_x32:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32:./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples -bdir ./env/144aac00aaf4a709f026d19959a4522267b514d8/hdl/projects/sidecar/qsfp_x32 ../hdl/projects/sidecar/qsfp_x32/QsfpX32Controller.bsv |
1269 | 2024-11-20T22:35:22.459Z | [491/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkSidecarRevBTarget -vdir ./env/010d61b3de52ce1e2605ed1cf897c15315e07cb2/hdl/projects/sidecar/ignition_target -bdir ./env/010d61b3de52ce1e2605ed1cf897c15315e07cb2/hdl/projects/sidecar/ignition_target ../hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bsv |
1270 | 2024-11-20T22:35:22.464Z | [492/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -opt-undetermined-vals -unspecified-to X -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -p +:./env/079ebb9bf1f654bcd9413014c5b3447162b73624/hdl/ip/bsv/ignition:./env/0927ef3abef40d50481f9fda85d437f202f614ee/hdl/ip/bsv:./env/15e619046c4eaacfdcf2d0c2739eb3e9fd90ba56/hdl/ip/bsv:./env/1a206172462b62ac59cfeeccfae46dbbedfc91e9/hdl/ip/bsv:./env/2b508307e25ec8bc6064efc839e82d7c3de18b9a/hdl/ip/bsv:./env/2ecc8fe5a8eaae512f7250d439ec49ecbc66b937/hdl/ip/bsv/ignition:./env/31009826d78d848440f98190f45c9cd7186d68e2/hdl/ip/bsv:./env/3b1b2616f19e5634466a69a8f4b0af242c4379ae/hdl/ip/bsv:./env/451b219c98e0697eeaef255b56d109f5eb9e7f11/hdl/ip/bsv:./env/46bd5fa8e27f99f4e972cf8738bb89bc0d8d936d/hdl/projects/ignitionlet:./env/527ae7622078b5bf609baf491b9037c809a0c996/hdl/ip/bsv:./env/73a4aafb510ffead0597ab7665adaa3be11f5f74/hdl/ip/bsv/interfaces:./env/778481e75a48e9db59519a760849622401a9cf2a/hdl/ip/bsv:./env/8959b031b8c7a257a4cffb7811721f07f17a98fb/hdl/ip/bsv/ignition:./env/98cb6ba5e168fe425fa06472ac1e7d3c3d9cb218/hdl/ip/bsv:./env/a19b2f2d270606e7cb0023292c241f0766166dbf/hdl/ip/bsv/ignition:./env/a462ac14eac942685634a1b9895e363408b6f350/hdl/ip/bsv:./env/cbeec940f11cd486187091b3c241dd36128231f9/hdl/ip/bsv -verilog -g mkSidecarRevBTargetWithResetButton -vdir ./env/b464ff989b81c4ae7ab8fe7988030b4d290d095c/hdl/projects/sidecar/ignition_target -bdir ./env/b464ff989b81c4ae7ab8fe7988030b4d290d095c/hdl/projects/sidecar/ignition_target ../hdl/projects/sidecar/ignition_target/IgnitionTargetSidecar.bsv |
1271 | 2024-11-20T22:35:24.321Z | [493/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/737a06ec8920f6de6074acf9891b8517c34670e1/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_power_button_top.json.log -s ./env/7910d72698221853db2354e78234c7526e690676/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_power_button_top.ys -b "json" -o env/737a06ec8920f6de6074acf9891b8517c34670e1/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_power_button_top.json |
1272 | 2024-11-20T22:35:26.947Z | [494/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/25e990849b4351b32f1650844a149a7bb38cb0bf/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_power_button_top.json.log -s ./env/825b61b60a58a157767ca67ad5de2f7e559fbedf/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_power_button_top.ys -b "json" -o env/25e990849b4351b32f1650844a149a7bb38cb0bf/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_power_button_top.json |
1273 | 2024-11-20T22:35:27.042Z | [495/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/f8c7a92ad3a9a79cbec12f3f61fadd946df3f553/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_reset_button_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.config |
1274 | 2024-11-20T22:35:27.061Z | [496/540] ln -sf ../../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.config.log latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.report.txt |
1275 | 2024-11-20T22:35:27.131Z | [497/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.bit |
1276 | 2024-11-20T22:35:27.153Z | [498/540] ln -sf ../../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.bit latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.bit |
1277 | 2024-11-20T22:35:28.634Z | [499/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/b640bd993bdde20735a87af0126fe1ca70d71522/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_top.json.log -s ./env/4edae4b0b3bb2e41d9907849a3300d42055c62ff/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_top.ys -b "json" -o env/b640bd993bdde20735a87af0126fe1ca70d71522/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_top.json |
1278 | 2024-11-20T22:35:28.664Z | [500/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/3c3b4345924d1bdb99190c84b7dc6823ee0ce17b/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_reset_button_top.json.log -s ./env/a1f87d6bce182c5cd4622b46cf25117fb7dde61e/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_reset_button_top.ys -b "json" -o env/3c3b4345924d1bdb99190c84b7dc6823ee0ce17b/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_reset_button_top.json |
1279 | 2024-11-20T22:35:32.345Z | [501/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/25e990849b4351b32f1650844a149a7bb38cb0bf/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_power_button_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.config |
1280 | 2024-11-20T22:35:32.348Z | [502/540] ln -sf ../../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.config.log latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.report.txt |
1281 | 2024-11-20T22:35:32.360Z | [503/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.bit |
1282 | 2024-11-20T22:35:32.363Z | [504/540] ln -sf ../../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.bit latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.bit |
1283 | 2024-11-20T22:35:34.986Z | [505/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/737a06ec8920f6de6074acf9891b8517c34670e1/hdl/projects/sidecar/ignition_target/ignition_target_rev_a_power_button_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.config |
1284 | 2024-11-20T22:35:34.991Z | [506/540] ln -sf ../../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.config.log latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.report.txt |
1285 | 2024-11-20T22:35:35.000Z | [507/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.bit |
1286 | 2024-11-20T22:35:35.003Z | [508/540] ln -sf ../../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.bit latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.bit |
1287 | 2024-11-20T22:35:35.569Z | [509/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/b640bd993bdde20735a87af0126fe1ca70d71522/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.config |
1288 | 2024-11-20T22:35:35.572Z | [510/540] ln -sf ../../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.config.log latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.report.txt |
1289 | 2024-11-20T22:35:35.579Z | [511/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.bit |
1290 | 2024-11-20T22:35:35.582Z | [512/540] ln -sf ../../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.bit latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.bit |
1291 | 2024-11-20T22:35:38.728Z | [513/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ice40 -q --lp1k --package qn84 --freq 50 -l env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.config.log --pcf ../hdl/ip/bsv/ignition/ignition_target.pcf --json env/3c3b4345924d1bdb99190c84b7dc6823ee0ce17b/hdl/projects/sidecar/ignition_target/ignition_target_rev_b_reset_button_top.json --asc env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.config |
1292 | 2024-11-20T22:35:38.735Z | [514/540] ln -sf ../../../../../env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.config.log latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.report.txt |
1293 | 2024-11-20T22:35:38.742Z | [515/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/icepack env/19880cce906a4c8cb08d2a2489200e377eee37d2/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.config env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.bit |
1294 | 2024-11-20T22:35:38.744Z | [516/540] ln -sf ../../../../../env/db3884f5f8e47eb505ef1f6ca48f78cd0ae690d5/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.bit latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.bit |
1295 | 2024-11-20T22:36:06.917Z | [517/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -verilog-filter ..//vnd/bluespec/basicinout.pl -p +:./env/020c17baee8cb98c040468bcef868f79bf99afcf/hdl/projects/sidecar/qsfp_x32:./env/077a35c2ef7331122a4c71c0f61699bf17c76f89/hdl/ip/bsv:./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces:./env/144aac00aaf4a709f026d19959a4522267b514d8/hdl/projects/sidecar/qsfp_x32:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/51827b14056bd71130c18fa44ec18a4b31fcaee5/hdl/projects/sidecar/qsfp_x32:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/57952b29a1f014a8ac596f36397ed1f768565a3b/hdl/ip/bsv/MDIO:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/6e56ecb3db126524cbca36c4de39c5e1dbe52667/hdl/projects/sidecar/qsfp_x32:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b59f6d526bbfbc80e556b4e6cfa4b43522683eff/hdl/projects/sidecar/qsfp_x32:./env/bf11b15921f93079979ab45a19b7954be062b4fe/hdl/projects/sidecar/qsfp_x32:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/d7e67e72b413a29aba90919be0d20f5679f0b3a9/hdl/projects/sidecar/qsfp_x32:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/f81368ac56f48e151dc9fc6c0d36630c87a6f247/hdl/projects/sidecar/qsfp_x32:./env/fb954df8ae3867d6f88a27b7aded6182a00455e2/hdl/ip/bsv/examples -verilog -g mkQsfpX32ControllerTop -vdir ./env/345dfe61acc09b46f5d97d4f08d2aaf2f605968e/hdl/projects/sidecar/qsfp_x32 -bdir ./env/345dfe61acc09b46f5d97d4f08d2aaf2f605968e/hdl/projects/sidecar/qsfp_x32 ../hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTop.bsv |
1296 | 2024-11-20T22:36:06.917Z | Warning: "Prelude.bs", line 3087, column 0: (G0024) |
1297 | 2024-11-20T22:36:06.917Z | The function unfolding steps interval has been exceeded when unfolding |
1298 | 2024-11-20T22:36:06.918Z | `primFix'. The current number of steps is 100000. Next warning at 200000 |
1299 | 2024-11-20T22:36:06.918Z | steps. Elaboration terminates at 1000000 steps. |
1300 | 2024-11-20T22:36:06.918Z | During elaboration of the body of rule `do_next' at |
1301 | 2024-11-20T22:36:06.918Z | "../hdl/ip/bsv/I2C/I2CBitController.bsv", line 260, column 10. |
1302 | 2024-11-20T22:36:06.918Z | During elaboration of `bit_ctrl' at "../hdl/ip/bsv/I2C/I2CCore.bsv", line |
1303 | 2024-11-20T22:36:06.918Z | 87, column 22. |
1304 | 2024-11-20T22:36:06.918Z | During elaboration of `i2c_core' at |
1305 | 2024-11-20T22:36:06.918Z | "../hdl/projects/sidecar/qsfp_x32/QSFPModule/QsfpModuleController.bsv", line |
1306 | 2024-11-20T22:36:06.918Z | 122, column 13. |
1307 | 2024-11-20T22:36:06.918Z | During elaboration of `_element' at "List.bs", line 723, column 4. |
1308 | 2024-11-20T22:36:06.918Z | During elaboration of `qsfp_ports' at |
1309 | 2024-11-20T22:36:06.918Z | "../hdl/projects/sidecar/qsfp_x32/QSFPModule/QsfpModulesTop.bsv", line 83, |
1310 | 2024-11-20T22:36:06.918Z | column 39. |
1311 | 2024-11-20T22:36:06.918Z | During elaboration of `qsfp_top' at |
1312 | 2024-11-20T22:36:06.918Z | "../hdl/projects/sidecar/qsfp_x32/QsfpX32Controller.bsv", line 67, column |
1313 | 2024-11-20T22:36:06.918Z | 20. |
1314 | 2024-11-20T22:36:06.918Z | During elaboration of `controller' at |
1315 | 2024-11-20T22:36:06.918Z | "../hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTop.bsv", line 238, |
1316 | 2024-11-20T22:36:06.918Z | column 23. |
1317 | 2024-11-20T22:36:06.918Z | During elaboration of `mkQsfpX32ControllerTop' at |
1318 | 2024-11-20T22:36:06.918Z | "../hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerTop.bsv", line 233, |
1319 | 2024-11-20T22:36:06.918Z | column 8. |
1320 | 2024-11-20T22:36:56.415Z | [518/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/a9732a070e8f18fbbb51f956dbe48d21c99ee34e/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_top.json.log -s ./env/b2fcc8e66dd988d2be6e480df3cfe4f3deff198b/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_top.ys -b "json" -o env/a9732a070e8f18fbbb51f956dbe48d21c99ee34e/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_top.json |
1321 | 2024-11-20T22:38:07.940Z | [519/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation +RTS -K0 -RTS -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation -verilog-filter ../vnd/bluespec/basicinout.pl -opt-undetermined-vals -unspecified-to X -steps-warn-interval 1000000 -steps-max-intervals 3 -p +:./env/0cca0c47c3fd66b240ed47ee1b0c79cf1134aedb/hdl/ip/bsv:./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces:./env/193e9e3eb730ffbc6f4265f14ae2cd9542f68367/hdl/projects/sidecar/mainboard/emulator:./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/543f2b203c8a8b66316cdcc8f83969a7dea93e4a/hdl/ip/bsv/interfaces:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv:./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition:./env/676471a8cf401bee6e98bd8615ae74ba49dbb469/hdl/projects/sidecar/mainboard:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv:./env/9b799a57d4ea69c47e5bd8f094d7110167e8194e/hdl/ip/bsv/ignition:./env/a119d68103afa660c178b5532afcecef44fd121a/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard:./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/bc7c44b701ca38afb6edb0d93039ca1feb25483c/hdl/ip/bsv/interfaces:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard:./env/d75bf2f543bb1accdabccb0fff940f8ba5b196b6/hdl/projects/ignitionlet:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition -verilog -g mkSidecarMainboardEmulatorOnEcp5Evn -vdir ./env/6cd12454e733fdf05b47cb62cf1366e6f75f072e/hdl/projects/sidecar/mainboard/emulator -bdir ./env/6cd12454e733fdf05b47cb62cf1366e6f75f072e/hdl/projects/sidecar/mainboard/emulator ../hdl/projects/sidecar/mainboard/emulator/SidecarMainboardEmulator.bsv |
1322 | 2024-11-20T22:38:20.160Z | [520/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ecp5 -q --45k --package CABGA554 --freq 50 -l env/ef261ae68effcfcdcf2bb584360fded5dee86e05/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.config.log --lpf ../hdl/projects/sidecar/qsfp_x32/qsfp_x32.lpf --json env/a9732a070e8f18fbbb51f956dbe48d21c99ee34e/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_top.json --textcfg env/ef261ae68effcfcdcf2bb584360fded5dee86e05/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.config |
1323 | 2024-11-20T22:38:20.164Z | [521/540] ln -sf ../../../../../env/ef261ae68effcfcdcf2bb584360fded5dee86e05/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.config.log latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.report.txt |
1324 | 2024-11-20T22:38:20.791Z | [522/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/ecppack env/ef261ae68effcfcdcf2bb584360fded5dee86e05/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.config env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.bit |
1325 | 2024-11-20T22:38:20.793Z | [523/540] ln -sf ../../../../../env/2f7acf9154f9a52390e8696d7bb182489aba6531/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.bit latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.bit |
1326 | 2024-11-20T22:38:35.137Z | [524/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/58395764b82b5d75c7b579a6ab135ec57df79184/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_epc5_evn_top.json.log -s ./env/cd545b8b35111a34cafa5ef837a77f08d5223b28/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_epc5_evn_top.ys -b "json" -o env/58395764b82b5d75c7b579a6ab135ec57df79184/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_epc5_evn_top.json |
1327 | 2024-11-20T22:38:57.861Z | [525/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ecp5 -q --um5g-85k --package CABGA381 -l env/81012b94a4f587d33dd2ed01c76d8520641eb7bd/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.config.log --lpf ../hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.lpf --json env/58395764b82b5d75c7b579a6ab135ec57df79184/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_epc5_evn_top.json --textcfg env/81012b94a4f587d33dd2ed01c76d8520641eb7bd/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.config |
1328 | 2024-11-20T22:38:57.865Z | [526/540] ln -sf ../../../../../../env/81012b94a4f587d33dd2ed01c76d8520641eb7bd/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.config.log latest/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.report.txt |
1329 | 2024-11-20T22:38:58.685Z | [527/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/ecppack env/81012b94a4f587d33dd2ed01c76d8520641eb7bd/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.config env/056955e0b805c8361acb6447338c5173396159ea/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.bit --compress |
1330 | 2024-11-20T22:38:58.688Z | [528/540] ln -sf ../../../../../../env/056955e0b805c8361acb6447338c5173396159ea/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.bit latest/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.bit |
1331 | 2024-11-20T23:00:03.817Z | [529/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation +RTS -K0 -RTS -verilog-filter ../vnd/bluespec/basicinout.pl -opt-undetermined-vals -unspecified-to X -steps-warn-interval 1000000 -steps-max-intervals 3 -p +:./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces:./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv:./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition:./env/676471a8cf401bee6e98bd8615ae74ba49dbb469/hdl/projects/sidecar/mainboard:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard:./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition -verilog -g mkSidecarMainboardControllerTop -vdir ./env/68687a94401cf588fc00f65ef8336b4cd149791a/hdl/projects/sidecar/mainboard -bdir ./env/68687a94401cf588fc00f65ef8336b4cd149791a/hdl/projects/sidecar/mainboard ../hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bsv |
1332 | 2024-11-20T23:00:03.817Z | Warning: "Connectable.bs", line 128, column 9: (G0023) |
1333 | 2024-11-20T23:00:03.817Z | The body of rule `vsc7448_thermal_alert_mkConnectionVtoAf' has no actions. |
1334 | 2024-11-20T23:00:03.817Z | Removing... |
1335 | 2024-11-20T23:00:19.959Z | [530/540] /work/oxidecomputer/quartz/bsc-2022.01-ubuntu-20.04/bin/bsc -q -show-range-conflict -Xc++ -Wno-dangling-else -Xc++ -Wno-bool-operation +RTS -K0 -RTS -verilog-filter ../vnd/bluespec/basicinout.pl -opt-undetermined-vals -unspecified-to X -steps-warn-interval 1000000 -steps-max-intervals 3 -p +:./env/1097e3042d4db2d183e1d5a139b422314a4d5fbc/hdl/ip/bsv/interfaces:./env/194836a3168aaaf73545111498925307f7ad6992/hdl/ip/bsv/ignition:./env/2391415258654690fd57fc95170876e14b8ac0be/hdl/ip/bsv:./env/2cf03d7dca92587381ef7ccaf1639b9d96966b0e/hdl/projects/sidecar/mainboard:./env/3d89b32dc580c3316cd4ca69e560fbf161dd9a3b/hdl/ip/bsv:./env/3e05e52014b7e2b6e7bf28f1d8d56df0cef574d5/hdl/ip/bsv:./env/467d83f78d8a04c9ec2945e34333090ed322933f/hdl/ip/bsv:./env/4d43dee8afc50aaf7453b17b969ffef9f8e50ca1/hdl/ip/bsv/ignition:./env/4fbd0600e6ba9b6a8c59c4f09c42696fcbb4ec61/hdl/ip/bsv/I2C:./env/5426b16cdd03869c6391dbf16973c9af6e3bbbd0/hdl/ip/bsv:./env/5c610f7684c8676c585f13b54f91c5912e492c04/hdl/ip/bsv:./env/632f7a1a90980b420318c6f0c66845eee8d5cfef/hdl/ip/bsv:./env/6602663650c135dd7cad0a544bc3b2d4f0debc7f/hdl/ip/bsv/ignition:./env/676471a8cf401bee6e98bd8615ae74ba49dbb469/hdl/projects/sidecar/mainboard:./env/6bdcebb36c3ac15cf14176afa3a91521d2e580ce/hdl/ip/bsv:./env/89a1d6c09771074bb00f4f2b942d06d15cea72ab/hdl/projects/sidecar/mainboard:./env/8c08b174debb318197117e61aec0040c817fb866/hdl/ip/bsv:./env/924a726f92c487e38dcfbf249c24c2547927dc35/hdl/ip/bsv:./env/af2329f25ac9f0341358e36181a567e69170ed2c/hdl/ip/bsv/I2C:./env/b1b75ccbb58f1ac7aa7f6f46dc1c8a66267be5a7/hdl/projects/sidecar/mainboard:./env/b42dd24acfe2bdc68cd6e3615d5b01de37f5c872/hdl/projects/sidecar/mainboard:./env/b87494ac35d445ea94ee3c18efa1f2d2b5356107/hdl/ip/bsv:./env/cb01c0b5fa5d26682bd7a4e0ed7b785ef44d25fd/hdl/ip/bsv/I2C:./env/ce8966bcb4ad3c7ef196436abf5dd41a717efd26/hdl/ip/bsv:./env/d4247a136c3fd6f93f159c43c70eea73396da40c/hdl/ip/bsv:./env/d5962f1b705659b903ced532232cb8b5bcf6d97d/hdl/projects/sidecar/mainboard:./env/db9617c52d1981e91dac413e9341da0fe7fb490a/hdl/ip/bsv:./env/dccfb7b29a7223e1e5d36b9f5fe0a6f01256e619/hdl/ip/bsv:./env/de85ecb3cdef4da2346b059825f10d778dc1344d/hdl/ip/bsv:./env/df6047219e9718d2046fcff9c4ca8558df0bf1cc/hdl/ip/bsv:./env/f2996f57b2bde65ac2faa7ac5319d54c97060127/hdl/ip/bsv/ignition -verilog -g mkSidecarMainboardControllerTopRevB -vdir ./env/46b265dee1eb4a823cc4a1a589f3c07cccc65612/hdl/projects/sidecar/mainboard -bdir ./env/46b265dee1eb4a823cc4a1a589f3c07cccc65612/hdl/projects/sidecar/mainboard ../hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bsv |
1336 | 2024-11-20T23:00:19.960Z | Warning: "Connectable.bs", line 128, column 9: (G0023) |
1337 | 2024-11-20T23:00:19.960Z | The body of rule `vsc7448_thermal_alert_mkConnectionVtoAf' has no actions. |
1338 | 2024-11-20T23:00:19.960Z | Removing... |
1339 | 2024-11-20T23:00:19.960Z | Warning: "../hdl/projects/sidecar/mainboard/SidecarMainboardControllerTop.bsv", line 1170, column 10: (G0023) |
1340 | 2024-11-20T23:00:19.960Z | The body of rule `do_set_phy4_ldo_pg' has no actions. Removing... |
1341 | 2024-11-20T23:02:41.749Z | [531/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/3fcd5096aa734cfdec0c06f56274327dc7c3ee5e/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top.json.log -s ./env/eb9140b7e26768e77a1364bd46363231ce782e26/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top.ys -b "json" -o env/3fcd5096aa734cfdec0c06f56274327dc7c3ee5e/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top.json |
1342 | 2024-11-20T23:03:02.360Z | [532/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/yosys -w translate_off -w parallel_case -w "Yosys has only limited support for tri-state logic at the moment." -q -L env/fda33d88e1e110d2b9304d5465a147615cc7ce23/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top_rev_b.json.log -s ./env/57a800919108f1bd3692dfaae02dd8a786c75391/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top_rev_b.ys -b "json" -o env/fda33d88e1e110d2b9304d5465a147615cc7ce23/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top_rev_b.json |
1343 | 2024-11-20T23:05:07.491Z | [533/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ecp5 -q --45k --package CABGA554 --speed 6 --freq 50 -l env/43333282021d279f14a51e2d9207ddadde70b5b4/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.config.log --lpf ../hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.lpf --json env/3fcd5096aa734cfdec0c06f56274327dc7c3ee5e/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top.json --textcfg env/43333282021d279f14a51e2d9207ddadde70b5b4/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.config |
1344 | 2024-11-20T23:05:07.493Z | [534/540] ln -sf ../../../../../env/43333282021d279f14a51e2d9207ddadde70b5b4/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.config.log latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.report.txt |
1345 | 2024-11-20T23:05:08.230Z | [535/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/ecppack env/43333282021d279f14a51e2d9207ddadde70b5b4/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.config env/056955e0b805c8361acb6447338c5173396159ea/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.bit --compress |
1346 | 2024-11-20T23:05:08.233Z | [536/540] ln -sf ../../../../../env/056955e0b805c8361acb6447338c5173396159ea/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.bit latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.bit |
1347 | 2024-11-20T23:05:34.319Z | [537/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/nextpnr-ecp5 -q --45k --package CABGA554 --speed 6 --freq 50 -l env/8dfc45c011475773a6b28c5d0e6dd2af72832c21/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.config.log --lpf ../hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.lpf --json env/fda33d88e1e110d2b9304d5465a147615cc7ce23/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_top_rev_b.json --textcfg env/8dfc45c011475773a6b28c5d0e6dd2af72832c21/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.config |
1348 | 2024-11-20T23:05:34.324Z | [538/540] ln -sf ../../../../../env/8dfc45c011475773a6b28c5d0e6dd2af72832c21/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.config.log latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.report.txt |
1349 | 2024-11-20T23:05:35.047Z | [539/540] /work/oxidecomputer/quartz/oss-cad-suite/bin/ecppack env/8dfc45c011475773a6b28c5d0e6dd2af72832c21/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.config env/056955e0b805c8361acb6447338c5173396159ea/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.bit --compress |
1350 | 2024-11-20T23:05:35.053Z | [540/540] ln -sf ../../../../../env/056955e0b805c8361acb6447338c5173396159ea/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.bit latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.bit |
1351 | 2024-11-20T23:05:35.066Z | process exited: duration 1903139 ms, exit code 0 |
|
1352 | 2024-11-20T23:05:35.075Z | found 71 output files |
1353 | 2024-11-20T23:05:35.075Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bsv (23772 bytes) |
1354 | 2024-11-20T23:05:36.086Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/ip/bsv/ignition/IgnitionControllerRegisters.bsv |
1355 | 2024-11-20T23:05:36.086Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/ip/bsv/ignition/ignition_controller.adoc (7180 bytes) |
1356 | 2024-11-20T23:05:37.095Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/ip/bsv/ignition/ignition_controller.adoc |
1357 | 2024-11-20T23:05:37.096Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/ip/bsv/ignition/ignition_controller.html (49538 bytes) |
1358 | 2024-11-20T23:05:38.108Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/ip/bsv/ignition/ignition_controller.html |
1359 | 2024-11-20T23:05:38.108Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/ip/bsv/ignition/ignition_controller.json (24528 bytes) |
1360 | 2024-11-20T23:05:39.134Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/ip/bsv/ignition/ignition_controller.json |
1361 | 2024-11-20T23:05:39.134Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/blinky_ecp5_evn.bit (1927728 bytes) |
1362 | 2024-11-20T23:05:40.172Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/blinky_ecp5_evn.bit |
1363 | 2024-11-20T23:05:40.172Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/blinky_ecp5_evn.report.txt (17416 bytes) |
1364 | 2024-11-20T23:05:41.186Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/blinky_ecp5_evn.report.txt |
1365 | 2024-11-20T23:05:41.186Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/clocks_ecp5_evn.bit (1927728 bytes) |
1366 | 2024-11-20T23:05:42.220Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/clocks_ecp5_evn.bit |
1367 | 2024-11-20T23:05:42.220Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/clocks_ecp5_evn.report.txt (23553 bytes) |
1368 | 2024-11-20T23:05:43.241Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/clocks_ecp5_evn.report.txt |
1369 | 2024-11-20T23:05:43.241Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.bit (1927728 bytes) |
1370 | 2024-11-20T23:05:44.277Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.bit |
1371 | 2024-11-20T23:05:44.278Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.report.txt (10144 bytes) |
1372 | 2024-11-20T23:05:45.296Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ecp5_evn/loopback_uart_ecp5_evn.report.txt |
1373 | 2024-11-20T23:05:45.296Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.bit (32220 bytes) |
1374 | 2024-11-20T23:05:46.307Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.bit |
1375 | 2024-11-20T23:05:46.307Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.report.txt (21235 bytes) |
1376 | 2024-11-20T23:05:47.320Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d.report.txt |
1377 | 2024-11-20T23:05:47.320Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.bit (32220 bytes) |
1378 | 2024-11-20T23:05:48.331Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.bit |
1379 | 2024-11-20T23:05:48.331Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.report.txt (20676 bytes) |
1380 | 2024-11-20T23:05:49.343Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_power_button.report.txt |
1381 | 2024-11-20T23:05:49.343Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.bit (32220 bytes) |
1382 | 2024-11-20T23:05:50.358Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.bit |
1383 | 2024-11-20T23:05:50.358Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.report.txt (21295 bytes) |
1384 | 2024-11-20T23:05:51.379Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/ignition_target/ignition_target_gimlet_rev_b_c_d_reset_button.report.txt |
1385 | 2024-11-20T23:05:51.379Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bsv (97444 bytes) |
1386 | 2024-11-20T23:05:52.398Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/GimletSeqFpgaRegs.bsv |
1387 | 2024-11-20T23:05:52.398Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_regs.html (149925 bytes) |
1388 | 2024-11-20T23:05:53.417Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_regs.html |
1389 | 2024-11-20T23:05:53.417Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_regs.json (90669 bytes) |
1390 | 2024-11-20T23:05:54.425Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_regs.json |
1391 | 2024-11-20T23:05:54.425Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_sdle_only.bit (135100 bytes) |
1392 | 2024-11-20T23:05:55.437Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_sdle_only.bit |
1393 | 2024-11-20T23:05:55.437Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_sdle_only.report.txt (18181 bytes) |
1394 | 2024-11-20T23:05:56.531Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_sdle_only.report.txt |
1395 | 2024-11-20T23:05:56.532Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_sequencer.bit (135100 bytes) |
1396 | 2024-11-20T23:05:57.735Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_sequencer.bit |
1397 | 2024-11-20T23:05:57.735Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_sequencer.report.txt (19063 bytes) |
1398 | 2024-11-20T23:05:58.804Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/gimlet/sequencer/gimlet_sequencer.report.txt |
1399 | 2024-11-20T23:05:58.804Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/icestick/blinky_icestick.bit (32220 bytes) |
1400 | 2024-11-20T23:05:59.880Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/icestick/blinky_icestick.bit |
1401 | 2024-11-20T23:05:59.880Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/icestick/blinky_icestick.report.txt (10794 bytes) |
1402 | 2024-11-20T23:06:00.893Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/icestick/blinky_icestick.report.txt |
1403 | 2024-11-20T23:06:00.893Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/icestick/loopback_uart_icestick.bit (32220 bytes) |
1404 | 2024-11-20T23:06:06.465Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/icestick/loopback_uart_icestick.bit |
1405 | 2024-11-20T23:06:06.469Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/icestick/loopback_uart_icestick.report.txt (11330 bytes) |
1406 | 2024-11-20T23:06:07.630Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/icestick/loopback_uart_icestick.report.txt |
1407 | 2024-11-20T23:06:07.630Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.bit (32220 bytes) |
1408 | 2024-11-20T23:06:08.645Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.bit |
1409 | 2024-11-20T23:06:08.645Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.report.txt (13029 bytes) |
1410 | 2024-11-20T23:06:09.663Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_sequencer_blinky.report.txt |
1411 | 2024-11-20T23:06:09.663Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_target_power_button.bit (32220 bytes) |
1412 | 2024-11-20T23:06:10.690Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_target_power_button.bit |
1413 | 2024-11-20T23:06:10.691Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_target_power_button.report.txt (21521 bytes) |
1414 | 2024-11-20T23:06:11.773Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_target_power_button.report.txt |
1415 | 2024-11-20T23:06:11.773Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_target_reset_button.bit (32220 bytes) |
1416 | 2024-11-20T23:06:12.793Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_target_reset_button.bit |
1417 | 2024-11-20T23:06:12.793Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_target_reset_button.report.txt (21097 bytes) |
1418 | 2024-11-20T23:06:13.804Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/ignitionlet_target_reset_button.report.txt |
1419 | 2024-11-20T23:06:13.804Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/transceiver_debug.bit (32220 bytes) |
1420 | 2024-11-20T23:06:16.445Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/transceiver_debug.bit |
1421 | 2024-11-20T23:06:16.445Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/transceiver_debug.report.txt (11664 bytes) |
1422 | 2024-11-20T23:06:17.462Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ignitionlet/transceiver_debug.report.txt |
1423 | 2024-11-20T23:06:17.462Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.bit (32220 bytes) |
1424 | 2024-11-20T23:06:18.471Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.bit |
1425 | 2024-11-20T23:06:18.471Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.report.txt (14070 bytes) |
1426 | 2024-11-20T23:06:19.479Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_a_reset_button.report.txt |
1427 | 2024-11-20T23:06:19.479Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_b.bit (32220 bytes) |
1428 | 2024-11-20T23:06:20.546Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_b.bit |
1429 | 2024-11-20T23:06:20.546Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_b.report.txt (20843 bytes) |
1430 | 2024-11-20T23:06:21.581Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_b.report.txt |
1431 | 2024-11-20T23:06:21.581Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.bit (32220 bytes) |
1432 | 2024-11-20T23:06:22.589Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.bit |
1433 | 2024-11-20T23:06:22.589Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.report.txt (20848 bytes) |
1434 | 2024-11-20T23:06:23.606Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/psc/ignition_target_psc_rev_b_reset_button.report.txt |
1435 | 2024-11-20T23:06:23.606Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.bit (32220 bytes) |
1436 | 2024-11-20T23:06:24.616Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.bit |
1437 | 2024-11-20T23:06:24.616Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.report.txt (21444 bytes) |
1438 | 2024-11-20T23:06:25.625Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_power_button.report.txt |
1439 | 2024-11-20T23:06:25.625Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.bit (32220 bytes) |
1440 | 2024-11-20T23:06:26.637Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.bit |
1441 | 2024-11-20T23:06:26.637Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.report.txt (14206 bytes) |
1442 | 2024-11-20T23:06:27.649Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_a_reset_button.report.txt |
1443 | 2024-11-20T23:06:27.649Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.bit (32220 bytes) |
1444 | 2024-11-20T23:06:28.659Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.bit |
1445 | 2024-11-20T23:06:28.659Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.report.txt (21263 bytes) |
1446 | 2024-11-20T23:06:29.670Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c.report.txt |
1447 | 2024-11-20T23:06:29.670Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.bit (32220 bytes) |
1448 | 2024-11-20T23:06:30.680Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.bit |
1449 | 2024-11-20T23:06:30.680Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.report.txt (20700 bytes) |
1450 | 2024-11-20T23:06:31.690Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_power_button.report.txt |
1451 | 2024-11-20T23:06:31.690Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.bit (32220 bytes) |
1452 | 2024-11-20T23:06:32.699Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.bit |
1453 | 2024-11-20T23:06:32.699Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.report.txt (21323 bytes) |
1454 | 2024-11-20T23:06:33.709Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/ignition_target/ignition_target_sidecar_rev_b_c_reset_button.report.txt |
1455 | 2024-11-20T23:06:33.709Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bsv (87422 bytes) |
1456 | 2024-11-20T23:06:34.717Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/SidecarMainboardControllerReg.bsv |
1457 | 2024-11-20T23:06:34.717Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.bit (362527 bytes) |
1458 | 2024-11-20T23:06:35.732Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.bit |
1459 | 2024-11-20T23:06:35.732Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.report.txt (22114 bytes) |
1460 | 2024-11-20T23:06:36.741Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/emulator/sidecar_mainboard_emulator_ecp5_evn.report.txt |
1461 | 2024-11-20T23:06:36.741Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.adoc (15116 bytes) |
1462 | 2024-11-20T23:06:37.749Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.adoc |
1463 | 2024-11-20T23:06:37.752Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.html (114400 bytes) |
1464 | 2024-11-20T23:06:38.759Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.html |
1465 | 2024-11-20T23:06:38.759Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.json (57487 bytes) |
1466 | 2024-11-20T23:06:39.769Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller.json |
1467 | 2024-11-20T23:06:39.769Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.bit (570930 bytes) |
1468 | 2024-11-20T23:06:40.785Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.bit |
1469 | 2024-11-20T23:06:40.785Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.report.txt (41869 bytes) |
1470 | 2024-11-20T23:06:41.793Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_b.report.txt |
1471 | 2024-11-20T23:06:41.793Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.bit (565903 bytes) |
1472 | 2024-11-20T23:06:42.809Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.bit |
1473 | 2024-11-20T23:06:42.809Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.report.txt (41814 bytes) |
1474 | 2024-11-20T23:06:43.817Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/mainboard/sidecar_mainboard_controller_rev_cd.report.txt |
1475 | 2024-11-20T23:06:43.817Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bsv (153034 bytes) |
1476 | 2024-11-20T23:06:44.829Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/QsfpX32ControllerRegsPkg.bsv |
1477 | 2024-11-20T23:06:44.829Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.adoc (36053 bytes) |
1478 | 2024-11-20T23:06:45.837Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.adoc |
1479 | 2024-11-20T23:06:45.837Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.html (276825 bytes) |
1480 | 2024-11-20T23:06:46.849Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.html |
1481 | 2024-11-20T23:06:46.849Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.json (216130 bytes) |
1482 | 2024-11-20T23:06:47.859Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_regs.json |
1483 | 2024-11-20T23:06:47.859Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.bit (1106501 bytes) |
1484 | 2024-11-20T23:06:48.879Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.bit |
1485 | 2024-11-20T23:06:48.879Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.report.txt (35379 bytes) |
1486 | 2024-11-20T23:06:49.888Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/sidecar/qsfp_x32/sidecar_qsfp_x32_controller_rev_b_c.report.txt |
1487 | 2024-11-20T23:06:49.890Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ulx3s/blinky_ulx3s.bit (281001 bytes) |
1488 | 2024-11-20T23:06:50.899Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ulx3s/blinky_ulx3s.bit |
1489 | 2024-11-20T23:06:50.900Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ulx3s/blinky_ulx3s.report.txt (10629 bytes) |
1490 | 2024-11-20T23:06:51.907Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ulx3s/blinky_ulx3s.report.txt |
1491 | 2024-11-20T23:06:51.907Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ulx3s/loopback_uart_ulx3s.bit (281434 bytes) |
1492 | 2024-11-20T23:06:52.918Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ulx3s/loopback_uart_ulx3s.bit |
1493 | 2024-11-20T23:06:52.919Z | uploading: /work/oxidecomputer/quartz/build/latest/hdl/projects/ulx3s/loopback_uart_ulx3s.report.txt (12406 bytes) |
1494 | 2024-11-20T23:06:53.926Z | uploaded: /work/oxidecomputer/quartz/build/latest/hdl/projects/ulx3s/loopback_uart_ulx3s.report.txt |